QuartusII13.0与Modelsim SE安装与破解说明 - 图文 下载本文

b) 点击右边的Test Benches, 我们需要在这里设定一个相关联的test bench.

这里会弹出一个让你指定test bench的对话框,因为我们之前没有指定任何的test bench,所以这里是空白的。 c) 指定test bench

因为我们是第一次产生test bench,点击new.

点击New之后会产生一个New Test bench setting的对话框,在这里你将test bench和你的相应的test bench file进行绑定。

我们这里在Test bench name的对话框中输入一个名字”my_1st_tb”, 我们将看到,在下面的Top level module in test bench对话框中也自动显示”my_1st_tb”. 注意这个名字应该和你的test bench 中的module name一直,我们之前在第3步的时候将test bench的module name已经改成了tb,所以我们这里应该把对话框中的名字改成tb。

d) 加入test bench文件

5. 进行仿真

当这些设定都完成了之后, 选择菜单

toolèRun EDA Simulation toolèEDA RTL simulation

就可以直接调用modelsim进行仿真。 6. 小技巧:

我们这样调用仿真,如果是Modelsim AE每次不会编译lib文件,但如果我们使用的是Modelsim SE版本,每次调用都需要重新编译库,非常不爽,在这里我们建议自己修改脚本文件,进行仿真.

a) 当我们按照之前的描述,运行完仿真之后,停留在Modelsim的界面。

b) 在Modelsim界面的命令行上,我们点向上的方向键,就会出现我们上一条指令, 我们可以看到是

do xxxx.do 这说明工具执行的上一个命令式 xxxx.do这个脚本文件,我们这里的例子是

do oversampling_core_run_msim_rtl_verilog.do

i. 我们知道了工具执行的脚本,我们就可以按照自己的想法去改变这个脚本了。使用

edit oversampling_core_run_msim_rtl_verilog.do命令,可以看到这个脚本的内容(当然我们也可以使用Ultra Edit或者VIM等文本编辑软件去打开这个脚本文件)。这个脚本通常分成3部分 库文件编译部分,设计文件编译,运行参数设定和开始执行部分