QuartusII13.0与Modelsim SE安装与破解说明 - 图文 下载本文

8、运行仿真。点击wave窗口上的入run等命令。

,或者直接在命令窗口下输

这样,基本的modelsim用法流程介绍完毕。Wave中的波形,可保存.do文件,方便下次运行时查看。波形的分析,可通过仿真结果进行查看。对于本实例,也可通过view下面的Memory list 进行查看和分析。

可以先结束仿真,再重新运行仿真过程。打开memory list,然后选择10ns的步长进行单步仿真。在memory list中可清楚查看fifo的写入和读出过程。这里不再详述。这样,对Modelsim—Altera运行功能仿真的通用方法介绍至此。 附录:

Modelsim的基本命令:

·project compileall //编译所有工程文件 ·vlib work //该目录下新建一个work目录

·vmap work work //将目前的逻辑工作库work和实际的工作库work映射对应

·vlog file.v //编译对应的文件

·vsimtb_module_name //仿真命令,注意testbench为模块名 ·view wave/dataflow //打开波形或数据流窗口

·add wave -hex * //*表示添加设计中所有的信号,-hex表示以十六进制来显示波形窗口中的信号值

·add wave /tb_module_name/ * //模块中所有信号加入波形文件 ·restart -f //重新执行仿真 ·run/run -all //运行仿真 ·run time //运行仿真时间 ·quit -sim //结束退出仿真

·pwd //transcript窗口显示当前路径(一般不用) ·cd //改变工作路径(一般不用) ·do file.do //运行do文件

Modelsim-Altera 使用技巧总结(二)

0赞

发表于 2014/5/28 13:36:45 阅读(5566) 评论(7)

软件使用版本:Quartus II 13.0+Modelsim_Altera10.1d 工程使用例程:tcd1209+ad9945,verilog HDL设计 仿真选择:时序仿真 撰写人:Strive_JP 一、开始前的准备:

时序仿真即后仿真,是利用SDF文件对原有设计进行时序标注之后,再进行仿真。时序仿真可以反映设计的时序性能,使设计接近于真实情况。Modelsim本身不可以产生时序仿真所需的SDF文件,但可对EDA工具如QuartusII 生成的SDF文件进行仿真。仿真前,首先需要用quartusII 生成用于功能或时序仿真