数电习题答案(1) 下载本文

习题答案

第一章 数制和码制

1. 数字信号和模拟信号各有什么特点?

答:模拟信号——量值的大小随时间变化是连续的。

数字信号——量值的大小随时间变化是离散的、突变的(存在一个最小数量单位△)。

2. 在数字系统中为什么要采用二进制?它有何优点?

答:简单、状态数少,可以用二极管、三极管的开关状态来对应二进制的两个数。 3. 二进制:0、1;四进制:0、1、2、3;八进制:0、1、2、3、4、5、6、7;十六进制:

0、1、2、3、4、5、6、7、8、9、A、B、C、D、E、F。

4. (30.25)10=( 11110.01)2=( 1E.4)16。 (3AB6)16=( 0011101010110110)2=(35266)8。

(136.27)10=( 10001000.0100)2=( 88.4)16。 5. B E 6. ABCD

7. (432.B7)16=( 010000110010. 10110111)2=(2062. 556)8。 8. 二进制数的1和0代表一个事物的两种不同逻辑状态。

9. 在二进制数的前面增加一位符号位。符号位为0表示正数;符号位为1表示负数。这种

表示法称为原码。

10. 正数的反码与原码相同,负数的反码即为它的正数原码连同符号位按位取反。 11. 正数的补码与原码相同,负数的补码即为它的反码在最低位加1形成。

12. 在二进制数的前面增加一位符号位。符号位为0表示正数;符号位为1表示负数。正数

的反码、补码与原码相同,负数的反码即为它的正数原码连同符号位按位取反。负数的补码即为它的反码在最低位加1形成。补码再补是原码。 13. A:(+1011)2的反码、补码与原码均相同:01011;B: (-1101)2的原码为11101,反码为10010,

补码为10011.

14. A: (111011)2 的符号位为1,该数为负数,反码为100100,补码为100101. B: (001010)2

的符号位为0,该数为正,故反码、补码与原码均相同:001010.

15. 两个用补码表示的二进制数相加时,和的符号位是将两个加数的符号位和来自最高有效

数字位的进位相加,舍弃产生的进位得到的结果就是和的符号。 +3的补码000011,+15的补码001111,和为010010;+9的补码01001,-12 的补码10100,和11101. 16.(100001000)BCD=(108)D=(6C)H=(01101100)B。 17. A 18. A

19.常见的十进制代码有8421码, 2421码,5211码,余 3码,余3循环码;前3种码从左到右每一位的1分别用码的权值表示;余 3码的权值为8、4、2、1;余3循环码相邻的两个代码之间仅有一位的状态不同。

20.计算机键盘上的按键是ASCII码。1000100 1011000 1011000 1011001. (参见教材P15表1.5.3)

1

习题答案

第二章 逻辑代数基础

1. 二值逻辑是指只有两种对立逻辑状态的逻辑关系。如门的开、关等。二值逻辑中的正逻辑指有1表示高电平,开关闭合等有信号的状态,0表示低电平,开关断开等无信号状态;负逻辑则正好与正逻辑相反。 2. 见教材P22-23。

3. 正逻辑 与、或、非运算的真值表:

负逻辑 与、或、非运算的真值表:

4. 连续异或1985个1的结果是1. 5. D 6. 奇数 7. 1 8. A 9. A

10. 逻辑函数F?A(B?C)*1的对偶函数FD?B?C?)。 ?( A +BC ),反函数F?? (A??11. 逻辑函数的表示方法有:真值表、逻辑函数式、逻辑电路图、时序图和卡诺图等五种

形式。

12. 用标准积之和表示,则F (A, B, C, D )=(ABC +ACD +ABD +BCD+ ABCD )

=∑m (7, 11, 13, 14, 15)。

用标准和之积表示,则F (A, B, C, D )=∏M (0,1, 2, 3, 4, 5, 6, 8, 9, 10, 12) 。

13. n个变量分别有2 n 个最小项和最大项。 14. A C D三项

2

15. ∏M (3, 5, 7, 11, 13, 14, 15) ∑m(0, 1, 2, 4, 8, 10, 12) 16. F负(A, B, C )= ∑m(0,3,5,6)

17. 最简与或表达式为:A??B; 最简或与表达式: A??B 18. Y?A?BC?AC?B?C的最小项之和表达式为:

Y?A?BC?AB?C?ABC?A?B?C?m3?m5?m7?m1??m(1,3,5,7)

Y?AB??C的最大项之积表达式为:

Y?(A?B?C)(A?B??C)(A??B??C)??M(0,2,6)

Y?AB?BC?AC的与非-与非表达式为: Y?((AB)?(BC)?(AC)?)?

Y?AB?C?BC?的或非-或非表达式为: ?Y?AB?C?BC??AB?C?ABC??A?BC?

Y??B?C??BC?A?B?或Y??B?C??BC?A?C

Y?(Y?)??(B?C)(B??C?)(A?B)?(((B?C)(B??C?)(A?B))?)?

? ((B?C)??(B??C?)??(A?B)?)?19.

Y?AB??B?A?BY?ABD?AB?CD??AC?DE?A??AB?B

?A?A?B??AB?Y?ACCA?C?DCDY?AB?CD?ABD?AC?D???A(C??BC)?C(AD)D?AD(B?C?B?C?) ?A(C??B )?C(?AD)??AD(C?C)?AC??AB?A?CCD?AD?A?CDY?

???AC?BC??A?BY?AB?C?A??B?C?AC?B(A??C?) ?AB?C?(AB?C)?

AC?(AC)?B?1AC?B20. 卡诺图的几何相邻性包括的三种情况:相接相邻、相对相邻、相重相邻。

3

21.

Y?A?B??AC?B?CY?AB??A?C?BC?C?D

?A?B??AC?AB??C?D

?C??A?B??Y?AB??A?C?C?D?DY?AB

?AB??A?C?D?B??C?D?DA?C?

B

L(A,B,C,D)??m(0,13,14,15)??d(1,2,3,9,10,11)?A?B??AD?AC

L(A,B,C,D)??m(0,1,2,5,6,8,9,10,13,14)?C?D?CD??B?D?F(A,B,C,D)??m(0,2,4,6,9,13)?d(1,3,5,7,11,15)?A??D

第三章 门电路

一、 填空

1. 用以实现 基本逻辑运算和复合逻辑运算 的单元电路称为门电路。

2. 常用的门电路在逻辑功能上有 与门、或门、非门、与非门、或非门、异或门 等几种。 3. 正逻辑是指 以高电平表示逻辑1,低电平表示逻辑0 。 4. 负逻辑是指 以低平表示逻辑1,高电平表示逻辑0 。

5. 反相器的输入特性是指 从反相器输入端看进去的输入电压与输入电流的关系 。 6. 反相器的输出特性是指 从反相器输出端看进去的输出电压与输出电流的关系 。 7. 两个OD与非门线与后,实际的逻辑关系是 与或非 。

8. 噪声容限示意图如下图所示。反相器输入为高电平时的噪声容限公式是

VNH=VOH(min)-VIH(min) ,低电平时的噪声容限公式是VNL=VIL(max)-VOL(max) 。

9. TTL门电路的输入端负载特性用公式表达为 vI?RP(VCC?vBE1)。

R1?RP10. 三态门的输出是 高电平 、 低电平 和 高阻态 。

二、 输入信号的高、低电平分别是5V和0V,R1为3.3 kΩ,R2为10 kΩ,RC为1 kΩ,VCC为5V,VEE为-8V,三极管的β为20,饱和压降与饱和导通时的内阻忽略。计算输入高、低

4

电平时对应的输出电平。

答案:

vB?vI?RB?当vI=VIL=0V时,

vI?VEEv?8R1?(vI?I?3.3)VR1?R213.3R1?R23.3?10?k??2.5k?R1?R213.30?8?3.3)V=-2V 13.3

vB?(0?发射结反偏,三极管截止,iC=0,vO=VCC=5V。 当vI=VIH=5V时,

vB?(0?0?8?3.3)V=-2V 13.3iB?vB?VBE1.8?0.7?A=0.44mA 3RB2.5?10深度饱和时三极管的基极电流为

IBS?VCC5?A=0.25mA 3?RC20?1?10满足iB>IBS,故三极管处于深度饱和状态,vO≈0V。

三、分析图示电路的逻辑功能。

5

答案:详见教材P116

四、输入电压波形如图所示,试画出电路的输出电压波形。 答案:

五、已知图中各门电路都是74系列门电路,指出各门电路的输出是什么状态。

答案:Y1为高电平;Y2为低电平;Y3为低电平;Y4为低电平。 六、74系列TTL与非门组成如图电路。试求前级门GM能驱动多少个负载门?门GM输出高 电平VOH≥3.2V,低电平VOL≤0.4V,输出低电平时输出电流最大值IOLmax=16 mA,输出高 电平时输出电流最大值IOHmax= -0.4mA, 与非门的电流IIL≤-1.6mA,IIH≤0.04 mA。

6

答案:

在满足VOL≤0.4V的条件下,求得可驱动的负载门数目为

N1?IOL(max)IIL(max)?16=10 1.6在满足VOH≥3.2V的条件下,求得可驱动的负载门数目为

N2?IOH(max)pIIH(max)?0.4=5

2?0.04因此GM最多能驱动5个同样的与非门。

七、上题中,若门均为74系列TTL或非门,而其它条件不变,门的参数与上题相同,那么 前级门GM能驱动多少个负载门?

答案:

在满足VOL≤0.4V的条件下,求得可驱动的负载门数目为

N1?IOL(max)2IIL(max)?16=5 2?1.6在满足VOH≥3.2V的条件下,求得可驱动的负载门数目为

N2?IOH(max)pIIH(max)?0.4=5

2?0.04因此GM最多能驱动5个同样的或非门。

八、计算图中上拉电阻RL的阻值范围。前级输出门均为74LS系列OC门,电源VCC=5V, 输出高电平VOH≥3.2V,输出低电平VOL≤0.4V。输出管截止时漏电流IOH≤0.1mA,低电平 输出时允许的最大负载电流IOL(max)=8 mA,后级负载门为74系列TTL与非门,输入电流

7

IIL≤-0.4mA,IIH≤0.02 mA。

答案:

RL 的最大允许值为 RL(ma?x)VCC?VOH5?3.2 ?k?=4.0?9knIOH?mIIH3?0.?1?70.02RL 的最小允许值为 RL(mi?n)VCC?VOL5?0.4 ?k?=0.6?8kIOL(ma?m?I?0.4x)I8L?3故RL 的取值范围应为 0.68?。k?RL?4.0?9k

九、计算图中上拉电阻RL的阻值范围。前级输出门均为74LS系列OC门,电源VCC=5V,

输出高电平VOH≥3.2V,输出低电平VOL≤0.4V。输出管截止时漏电流IOH≤0.1mA,低电 平输出时允许的最大负载电流IOL(max)=8 mA,后级的74系列TTL或非门,输入电流IIL≤-0.4mA,IIH≤0.02 mA;后级的74系列TTL非门,输入电流IIL≤-0.4mA,IIH≤0.02 mA。

答案:

RL 的最大允许值为 RL(ma?x)VCC?VOH5?3.2?k?=6?k

nIOH?mIIH2?0.?1?50.02RL 的最小允许值为 RL(mi?n)

VCC?VOL5?0.4 ?k?=0.7?7k?IOL(ma?mI8?5?0.4x)IL8

故RL 的取值范围应为 0.77?。 k?RL?6?k十、三个三态门的输出接到数据总线上,如图所示。 (1)简述数据传输原理。

(2)若门G1发送数据,各三态门的使能端子应置何种电平?

答案:

(1)数据传输原理:工作过程中控制各个反相器的EN端轮流等于1,而且任何时候仅有一个等于1,便可轮流把传输到各个反相器输出端的信号送到总线上,而互不干扰。 (2)若门G1发送数据,各三态门的使能端子应置于EN1=1,EN2=EN3=0

第四章 组合逻辑电路

一、填空

1. 数字电路分成两大类,一类是 组合逻辑电路 ,另一类是 时序逻辑电路 。

2. 组合逻辑电路在逻辑功能上的共同特点是 任意时刻的输出仅仅取决于该时刻的输入,与电路原来的状态无关 。

3. 组合逻辑电路的分析是指 由给定的逻辑电路,通过分析找出电路的逻辑功能来 。

4. 组合逻辑电路通常采用的设计方法分为 进行逻辑抽象 、 写出逻辑函数式 、 选定器件类型 、 将逻辑函数化简或变换成适当的形式 和 由化简或变换后的逻辑函数式,画出逻辑电路图 五个 步骤。

5. 逻辑状态赋值是指 以二值逻辑的0、1两种状态分别代表输入变量和输出变量的两种不同状态 。 6. 编码器的逻辑功能是 将输入的每一个高、低电平信号编成一个对应的二进制代码 。

7. 译码器的逻辑功能是 将每个输入的二进制代码译成对应的输出高、低电平信号或另外一个代码 。 8. 用具有n位地址输入的数据选择器,可以产生任何形式输入变量数不大于 n+1 的组合逻辑函数。 9. 竞争是指 门电路两输入信号同时向相反的逻辑电平跳变的现象 。 10.竞争-冒险是指 由于竞争而在电路输出端可能产生尖峰脉冲 的现象。

二、分析图示电路的逻辑功能。在保证逻辑功能不变的情况下,此电路可否用非门和与非门构成,试画出电路图。

9

答案:

根据逻辑电路图写出逻辑表达式:Y?ABC??A?BC?AB?C 列出真值表:

由真值表可见这是一个奇偶判别电路。 即当输入A、B、C中有偶数个1时,输出 Y等于1。而当输入A、B、C中有奇数个1 或全为0时,输出Y等于0。

若用非门和与非门构成电路,则逻辑表 达式应变换成与非式。

Y?((ABC??A?BC?AB?C)?)?

= ((ABC?)?(A?BC)?(AB?C)?)?

三、试分析图示(a)和(b)两电路是否具有相同的逻

辑功能。如果相同,它们实现的是何逻辑功能。

答案:

根据逻辑电路图写出逻辑表达式:

(a) Y?((A(AB)??B(AB)?)?)??A(A??B?)?B(A??B?)?AB??A?B (b) Y?(A?B)(A??B?)?AB??A?B

可见,两电路具有相同的逻辑表达式,因此逻辑功能相同。电路实现的是异或逻辑功能。 四、试分析图示电路的逻辑功能。

10

答案:

根据逻辑电路图写出逻辑表达式:

Y?((A?C)B?)??(AC?B?)??ABC?A?B?C?

列出真值表:

由真值表可见这是一个同或门电路。即当输入A、 B、C相同时,输出Y等于1。而当输入A、B、 C不同时,输出Y等于0。

五、用两片74HC148接成16线-4线优先编码器。

六、用两片74HC138接成4线-16线译码器。

11

七、分析图示电路的逻辑功能。各输出为1时,分别表示什么含义?

答案:

根据逻辑电路图写出逻辑表达式:

?Y1?A(AB)??A(A??B?)?AB???Y2?(Y1?Y3)??(AB??A?B)??A?B??AB ?Y?B(AB)??B(A??B?)?A?B?3此电路的逻辑功能为1位数值比较器。当Y1=1时,表示二进制数A>B ;当Y2=1时,表示二进制数A=B ;当Y3=1时,表示二进制数A< B 。

八、用四选一数据选择器实现三变量函数。

Z?A?B?C??AC?A?BC

答案:

若采用卡诺图法,令A1=B A0=C

D0?A?;D1?A;D2?0;D3?1

12

九、用3线-8线译码器74HC138和门电路产生如下函数。用8选1数据选择器74HC151实现函数Y2。

Y1?AC?B?CY2?A?B?C?AB?C??BC Y3?B?C??AB?C答案:

Y1?AC?B?C?ABC?AB?C?A?B?C?m7?m5?m1Y2?A?B?C?AB?C??BC?A?B?C?AB?C??ABC?A?BC?m1?m4?m7?m3 Y3?B?C??AB?C?AB?C??A?B?C??AB?C?m4?m0?m5?~m7? 令A2=A A1=B A0=C 则 Y0?~Y7? ? m0??m5??m7?)?Y1?m7?m5?m1?(m1??m3??m4??m7?)? Y2?m1?m4?m7?m3?(m1??m4??m5?)?Y3?m4?m0?m5?(m0

当用8选1数据选择器74HC151实现函数Y2时,令A2=A A1=B A0=C 则 D1=D3=D4=D7=1 D0=D2=D5=D6=0

13

十、用4线-16线译码器74LS154和门电路产生如下函数。

Y?AC?D?A?B?CD?BC?BCD? 答案:

Y?AC?D?A?B?CD?BC?BCD??m3?m6?m7?m9?m13?m14?m15

??m6??m7??m9??m13??m14??m15?)? =(m3? ? m0?~m15? 令A3=A A2=B A1=C A0=D 则 Y0?~Y15

十一、某工厂有三个车间和一个自备电站,站内有两台发电机X和Y。Y的发电能力是X的两倍。如果一个车间开工,只起动X即可;如果两个车间同时开工,只起动Y即可;如果三个车间同时开工,则X和Y都要起动。试设计一个控制发电机X、Y起动和停止的逻辑电路。 (1)用全加器实现。 (2)用译码器实现。

(3)用门电路实现,门电路种类不限。 答案:

用E、F、G三个变量作为输入变量分别对应三个车间,并设车间开工为1,不开工为0; X、Y两个变量作为输出变量分别对应两台发电机,并设电机启动为1,停止为0。

14

根据题意可列真值表:

由真值表写出逻辑表达式:

X?E?F?G?E?FG??EF?G??EFG Y?E?FG?EF?G?EFG??EFG

(1)用全加器实现 令CI=E A=F B=G

则S=X CO=Y

(2)用译码器实现。

??m2??m4??m7?)? X?E?F?G?E?FG??EF?G??EFG?m1?m2?m4?m7?(m1??m5??m6??m7?)? Y?E?FG?EF?G?EFG??EFG?m3?m5?m6?m7?(m3?~m7? 令A2=E A1=F A0=G 则 Y0?~Y7? ? m0

(3)用门电路实现,门电路种类不限。

15

X?E?F?G?E?FG??EF?G??EFGY?E?FG?EF?G?EFG??EFG ?G(E?F??EF)?G?(E?F?EF?) ?G(E?F?EF?)?EF(G??G)

?G(E?F)??G?(E?F) ?G(E?F)?EF ?E?F?G

第五章 触发器

1. 触发器是能够记忆一位二值信号的基本逻辑单元。

2. 触发器有两个稳定的状态,可用来存储数码 0和 1 (只要电源不断电)。触发器按其

逻辑功能可分为 RS 触发器、 D 触发器、 JK 触发器、 T 触发器等四种类型。按触发方式可以分为: 电平触发 、 脉冲触发 、 边沿触发 。

3. 触发器有 两 个稳定状态,通常用 Q 端的输出状态来表示触发器的状态。 4. 或非门构成的SR锁存器的输入为 S=1、R=0,当输入S变为0时,触发器的输出将会

( C )。

(A)置位 (B)复位 (C)不变

R?0,当两输入的0状态同时消失时,触发5. 与非门构成的SR锁存器的输入为S?0 、器的输出状态为( D)

(A)Q?0 、 Q?1 (D)状态不确定 Q?1 (B)Q?1 、 Q?0 (C)Q?1 、6. 触发器引入时钟脉冲的目的是(B )

(A)改变输出状态

(B)改变输出状态的时刻受时钟脉冲的控制 (C)保持输出状态的稳定性

7. 与非门构成的SR锁存器的约束条件是( B)

(A)S?R?0 (B)S?R?1 (C)S?R?0 (D)S?R?1 8. “空翻”是指( A)

(A)在时钟信号作用时,触发器的输出状态随输入信号的变化发生多次翻转 (B)触发器的输出状态取决于输入信号

(C)触发器的输出状态取决于时钟信号和输入信号 (D)总是使输出改变状态

9. JK触发器处于翻转时,输入信号的条件是( D)

16

(A)J=0 , K=0 (B)J=0 , K=1 (C) J=1 , K=0 (D)J=1 , K=1 10. J=K=1时,JK触发器的时钟输入频率为120Hz,Q输出为(C )

(A)保持为高电平 (B)保持为低电平

(C)频率为60Hz的方波 (D)频率为240Hz的方波 11. JK触发器在CP的作用下,要使Q*?Q,则输入信号为(A) (A)J=K=0 (B)J=1 , K=0 (C)J=K=Q (D)J=0 , K=1 12. 下列触发器中,没有约束条件的是( B)

(A)SR锁存器 (B)主从JK触发器 (C)钟控RS触发器

13. 某JK触发器工作时,输出状态始终保持为1,则可能的原因有(ACD ) (A)无时钟脉冲输入 (B)J=K=1 (C)J=K=0 (D)J=1 , K=0

14. 归纳基本RS触发器、同步触发器、主从触发器和边沿触发器触发翻转的特点。

答:

(1)基本的RS触发器的动作特点是在输入信号S和R的全部作用时间内,都能直接改变输出端Q状态。

(2)同步RS触发器的动作特点是在CP=1的全部时间内,S和R的变化都将引起触发器状态的相应改变。

(3)主从触发器的动作特点是触发器的翻转分两步动作:第一步,在CP=1的期间主触发器接收输入端的信号被置成相应的状态,从触发器不动。第二步,在CP的下降沿到来时从触发器按照主触发器的状态翻转。因为主触发器本身是一个同步RS触发器,所以在CP=1的全部时间内输入信号都将对主触发器起控制作用。

(4)边沿触发器翻转特点是触发器的状态仅取决于CP信号的上升沿或下降沿到达时输入端的逻辑状态,而在这之前或以后,输入信号的变化对触发器的状态没有影响。

15. 画出由与非门组成的SR锁存器输出端Q 、 R的电压波形如图 Q的电压波形,输入端S 、中所示。

答案:

16. 画出图中由或非门组成的SR锁存器输出端Q 、 Q的电压波形,其中输出入端S,R的电 压波形如图中所示。

答案:

17

17. 由或非门组成的触发器和输入端信号如图所示,设触发器的初始状态为1,画出输出端 Q的波形。

答案:

18. 在下图电路中,若CP、S、R的电压波形如图中所示,试画出Q的波形,假定触发器的 初始状态为Q=0。

答案:

19. 若主从结构RS触发器各输入端的电压波形如图中所示,试画出Q 、 Q端对应的电压波 形。设触发器的初始状态为Q=0。

18

答案:

20. 已知主从结构JK触发器输入端J、K和CP的电压波形如图所示,试画出Q 、 Q端对应 的波形。设触发器的初始状态为Q = 0。

答案:

21. 图示电路中,已知CP和输入信号T的电压波形,试画出触发器输出端Q 、 Q的电压波 形,设触发器的起始状态为Q=0。 答案:

22. 已知上升沿触发的D触发器输入端的波形如图所示,画出输出端Q的波形。若为下降 沿触发,画出输出端Q的波形。设初始状态为Q=0。

19

答案:

23. 已知D触发器各输入端的波形如图所示,试画出Q 、 Q端的波形。

答案:

24. 如图所示为边沿D触发器构成的电路图,设触发器的初始状态Q1Q0=00,确定Q0 及 Q1在时钟脉冲作用下的波形。

答案:

20

因为D0?Q1*D1?Q0 所以 Q0?Q1Q1*?Q0

即两个D触发器的输入信号分别为另一个D触发器的输出信号,故在确定它们输出端波形

时,应该分段交替画出其波形。

习题答案

第六章 时序逻辑电路

1. 时序逻辑电路是指 任一时刻得输出信号不仅取决于当时得输入信号,而且还取决于电路原来得状态 。时序逻辑电路具有 存储和记忆 功能,而组合逻辑电路没有这种功能。 2. 按照存储电路中触发器动作特点的不同,时序逻辑电路可分为 同步 时序逻辑电路和

异步 时序逻辑电路,而按照输出信号特点的不同,又可将时序逻辑电路划分为 米利 型 和 穆尔 型两种 。

3. 用4级触发器组成十进制计数器,其无效状态个数为( D )。

A. 不能确定 B. 10个 C. 8个 D. 6个 4. 某时序逻辑电路的波形如图所示,由此判定该电路是( B )。 A. 二进制计数器 B. 十进制计数器 C. 移位寄存器

5. 寄存器是用于 寄存一组二值代码的 ,移位寄存器除了具有寄存器的功能以外, 还具有移位功能,移位功能是指 在移位脉冲的作用下依次左移或右移 。 6. 由D触发器组成的四位数码寄存器,清零后,输出端Q3Q2Q1Q0=_0000_ ,若输入端 D3D2D1D0=1001,当CP有效沿出现时,输出端Q3Q2Q1Q0=_1001_ 。 7. 试分析下图所示时序逻辑电路的逻辑功能。

21

解:属同步时序电路,时钟方程省去。

输出方程: 驱动方程:

T触发器的特性方程:

将各触发器的驱动方程代入特性方程,即得电路的状态方程:

*??Q1?T1?Q1?X?Q0?Q1?*??Q0?T0?Q0?1?Q0?Q0Y?XQ1?X?Q1?T1?X?Q0??T0?1Q*?T?Q

列状态转换表

画状态转换图和时序波形图

22

由状态图可以看出,当输入X =0时,在时钟脉冲CP的作用下,电路的4个状态按递增规律循环变化,即:

00→01→10→11→00→…

当X=1时,在时钟脉冲CP的作用下,电路的4个状态按递减规律循环变化,即:

00→11→10→01→00→…

可见,该电路既具有递增计数功能,又具有递减计数功能,是一个2位二进制同步可逆计数器。

8. 写出下图电路的驱动方程、特性方程和输出方程。

解:驱动方程 ?J1?Q3 K1?1?

K2?Q1?J2?Q1 ?J?QQK3?112?3

状态方程

?Q1*?Q3 Q1

??* ?Q2?Q1Q2?Q1Q2?Q1?Q2?*

Q3?Q1Q2Q3??

Y?Q3输出方程

9. 试分析下图所示时序逻辑电路的逻辑功能。

23

解:该电路为异步时序逻辑电路。具体分析如下: (1)写出各逻辑方程式 ①时钟方程:

CP0=CP (时钟脉冲源的上升沿触发)

CP1=Q0 (当FF0的Q0由0→1时,Q1才可能改变状态) ②输出方程:

Z?Q0?Q1?Q0 Q1D0?Q0

③各触发器的驱动方程:

D1?Q1

(2)将各触发器的驱动方程代入D触发器的特性方程,得各触发器的状态方程:

*Q0?D0?Q0 (CP由0→1时此式有效)

Q1*?D1?Q1(Q0由0→1时此式有效)

(3)列状态转换表

(4)画状态转换图和时序波形图

CPQ0Q1

Z

(5)逻辑功能分析

由状态转换图可知:该电路一共有4个状态00、01、10、11,在时钟脉冲作用下,按照减1规律循环变化,所以是一个异步4进制减法计数器,Z是借位信号。

10. 输入信号波形如图所示, 试画出电路对应的输出Q2、Q1的波形图。 

24

11. 试分析下图所示时序逻辑电路的逻辑功能。

解:属同步时序电路,时钟方程省去。

驱动方程:

列状态转换表

?J1?Q3 K1?1? K2?Q1?J2?Q1 ? K3?Q2?J3?Q2 将各触发器的驱动方程代入特性方程,即得电路的状态方程:

?Q1*?Q3 Q1 ??*?Q2?Q1Q2?Q1Q2?Q1?Q2?*??Q3?Q2Q3?Q2Q3?Q2 25

画状态转换图

由状态转换图可知:该电路属同步五进制计数器,不具备自启动功能。

12.已知计数器的输出端Q2、Q1、Q 0的输出波形如图所示,试画出对应的状态图,并分析 该计数器为几进制计数器。

由状态转换图可知:该电路属七进制计数器。

26

13. 分析图示时序电路的逻辑功能,假设电路初态为000,如果在CP的前六个脉冲内,D端依次输入数据为1,0, 1,0,0, 1,则电路输出在此六个脉冲内是如何变化的?

解:属同步时序电路,时钟方程省去。

驱动方程:

列状态转换表

?J0?D K0?D?? K1?Q0?J1?Q0 ? K2?Q1??J2?Q1 将各触发器的驱动方程代入特性方程,即得电路的状态方程:

*?Q0?DQ0?DQ0?D ??*?Q1?Q0Q1?Q0Q1?Q0?*??Q2?Q1Q2?Q1Q2?Q1

该电路属三位右移移位寄存器。

14. 分析图示计数器电路,说明这是多少进制的计数器,并画出对应的状态转换图。十进制

计数器74160的功能表与表6.3.4(教材P282)相同。

27

这是一个七进制进制的计数器。

15.下图所示计数器电路为几进制计数器?

图示计数器电路分别为八进制计数器和七进制进制的计数器。

16. 试用两片4位二进制加法计数器74161采用并行进位方式构成8位二进制同步加法计数器。模为16×16=256。

17. 试用74161构成九进制计数器。(可采用异步清零法或同步预置数法)

28

异步清零法

同步预置数法

18. 试用集成计数器74160和与非门组成五进制计数器,要求直接利用芯片的进位输出端作 为该计数器的进位输出。

19. 试用集成计数器74191和与非门组成余3码十进制计数器。

29

20. 试用集成计数器74160和与非门组成48进制计数器。

解:因为N=48,而74160为模10计数器,所以要用两片74160构成此计数器。先将两芯片采用并行进位方式连接成100进制计数器,然后再用异步清零法组成了48进制计数器。

21. 某石英晶体振荡器输出脉冲信号的频率为32768Hz,用74161组成分频器,将其分频为 频率为1Hz的脉冲信号。

解:因为32768=215,经15级二分频,就可获得频率为1Hz的脉冲信号。因此将四片 74161级联,从高位片(4)的Q2输出即可。

22. 试用计数器74161和数据选择器74151设计一个01100011的序列信号发生器。

解:由于序列长度P=8,故将74161构成模8计数器,并选用数据选择器74151产生所 需序列,从而得电路如图所示。

30

23. 试用JK触发器设计一个同步五进制加法计数器,要求电路能够自启动。 解:本题是同步计数器的设计,分析步骤如下:

(1) 根据设计要求,设定状态,画出状态转换图。该状态图不须化简。

(2) 状态分配,列状态转换编码表。由题意要求M=5,故应取触发器位数n=3,

因为 22<5<23

(1) 画出电路的次态卡诺图,经化简得到电路的状态方程。

31

?Q*?Q Q?QQ ?QQ Q 02010210 ??* ?Q1?Q0Q1?Q0Q1?* Q2?Q1Q0?Q1Q0Q2?Q1Q0Q2??

(4) 选择触发器 用JK触发器,则可列出有关JK触发器驱动方程和进位输出方程。

?J?QQ K0?1021 ?? K1?Q0Y?Q2?J1?Q0

? K2?Q1Q0??J2?Q1Q0

(5)画逻辑电路图

(6)检查能否自启动

32

可见,如果电路进入无效状态101、110、111时,都可在CP脉冲作用下,分别进入有效状态010、011、100。所以电路能够自启动。

习题答案

第十章 脉冲波形的产生和整形

1. 能否用施密特触发器存储1位二值代码?为什么?

答:可以用施密特触发器存储1位二值代码,因为它同样具有两个稳定状态。但这两个稳定状态要依靠输入信号来维持,两状态的转换也要靠输入信号的变化来实现。 2. 在教材P458图10.2.1所示的施密特触发器电路中,为什么要求R1

答:若R1>R2 ,会使VT+ >2VTH= VDD ,VT- <0 ,而vI的变化范围在0~ VDD之间,即达不到阈值而使电路自锁,不能进行状态翻转。

3. 反相输出的施密特触发器的电压传输特性和普通反相器的电压传输特性有什么不同? 答:反相输出的施密特触发器的电压传输特性和普通反相器的电压传输特性的不同点在于输入信号在上升和下降过程中,电路状态转换时对应的输入电平不同。电路状态转换时有正反馈过程,使输出波形边沿变得很陡。

4. 若反相输出的施密特触发器输入信号波形如图P10-4所示,试画出输出信号的波形。施 密特触发器的转换电平VT+、VT-已在输入波形图上标出。

图P10-4

答:

33

图A10-4

5. 在教材P458图10.2.1给出的由CMOS反相器组成的施密特触发器电路中,若R1=50kΩ , R2=100kΩ ,VDD=5V ,VTH=VDD/2 ,试求电路的输入转换电平VT+、VT-以及回差电压△VT 。

1解:VT???1??R??VTH??1?100??2.5?3.75V

??2???R??50??R1?50???VT???1?V?1??R?TH?100??2.5?1.25V

??2??ΔVT?VT??VT??3.75?1.25?2.5V

6. 在图P10-6(a)所示的施密特触发器电路中,已知R1=10kΩ , R2=30kΩ 。G1和G2为 CMOS反相器,VDD=15V 。

(1) 试计算电路的正向阈值电压VT+、负向阈值电压VT-和回差电压△VT 。

(2) 若将图P10-6(b)给出的电压信号加到图P10-6(a)电路的输入端,试画出输出电

压的波形。

图P10-6

1解:(1)VT????1?R??VTH??1?30??2?10V

??2??1 VT???1??R??VTH??1?30??2?5V

??2?? ΔVT?VT??VT??10?5?5V (2)

?R??10?15?R??10?15图A10-6

7. 单稳态触发器输出脉冲的宽度(即暂稳态持续时间)由哪些因素决定?与触发脉冲的宽 度和幅度有无关系?

答:单稳态触发器输出脉冲的宽度(即暂稳态持续时间)的长短取决于电路内部的时间

34

常数,与触发脉冲的宽度和幅度没有关系。

8. 比较图10.3.1 (教材P467)的微分型单稳态触发器和图10.3.5( 教材P469)的积分型 单稳态触发器,它们各有何优点、缺点? 答:

(1)积分型比微分型单稳抗干扰能力强。 (2)积分型单稳输出波形的边沿比较差(因为电路状态转换中无正反馈作用)。 (3)积分型单稳必须在触发脉冲宽度大于输出脉冲宽度时方能正常工作;而微分型单稳可用窄脉冲触发也可在vd的脉宽大于输出脉宽时电路仍工作,但输出脉冲下降沿较差(vO在返回低电平过程中电路内部不能形成正反馈)

9. 在教材P467图10.3.1给出的微分型单稳态触发器电路中,已知R=51kΩ ,C=0.01μF, 电源电压VDD=10V ,试求在触发信号作用下输出脉冲的宽度和幅度。 解: tW=RCln2=51×103×0.01×10-6×0.69=0.35ms Vm=VOH-VOL≈10V

10. 在教材P467图10.3.1给出的微分型单稳态触发器电路中,为加大输出脉冲宽度所采取 的下列措施哪些是对的,哪些是错的。如果是对的,在( )内打√;如果是错的,在( )内打×:

(1) 加大Rd(×); (2)减小R(×); (3)加大C(√); (4)提高VDD(×); (5) 增加输入触发脉冲的宽度(×)。

11. 在教材P469图10.3.5给出的积分型单稳态触发器电路中,若G1和G2为74LS系列门电 路,它们的VOH=3.4V ,VOL≈0V, VTH=1.1V ,R=1kΩ ,C=0.01μF,试求在触发信号作用下输出负脉冲的宽度。设触发脉冲的宽度大于输出脉冲的宽度。 解:tW?RClnVOH3.4?1?103?0.01?10?6ln?11.3μs VTH1.112. 图P10-12是用两个集成单稳态触发器74121所组成的脉冲变换电路,外接电阻和电容

参数如图中所示。试计算在输入触发信号vI作用下vO1、vO2输出脉冲的宽度,并画出与vI波形相对应的vO1、vO2的电压波形。vI的波形如图中所示。

图P10-12

35

解:

图A10-12

tW1=RCln2=22×103×0.13×10-6×0.69≈2ms tW2=RCln2=11×103×0.13×10-6×0.69≈1ms

13. 在什么条件下电路中的正反馈会使电路产生振荡?在什么条件下电路中的负反馈会使 电路产生振荡? 答:电路中的正反馈会使电路产生振荡的条件:利用闭合回路中的正反馈可以产生振荡, 但构成振荡器中的反相器必须工作在电压传输特性的转折区。

电路中的负反馈会使电路产生振荡的条件:利用门电路的传输延迟时间将奇数个反相器首尾相接。

14. 在教材P477图10.4.1给出的对称式多谐振荡器电路中,若RF1= RF2=1kΩ ,C1=C2=0.1 μF ,G1和G2为74LS04(六反相器)中的两个反相器,G1和G2的VOH=3.4V , VTH=1.1V ,VIK=-1.5V ,R1=20kΩ ,求电路的振荡频率。

VE?VIK

VE?VTHR1RF20?1??0.95kΩ 其中 RE?R1?RF20?1RF?VCC?VOH?VBE?VE?VOH?R1?RF 解: T?2RECln

1?5?3.4?0.7??3.44V?3.4?20?11?7.04kHz T

故得到振荡频率为 f?15. 在教材P477图10.4.1给出的对称式多谐振荡器电路中,试判断为提高振荡频率所采取的下列措施哪些是对的,哪些是错的。如果是对的,在( )内打√;如果是错的,在( )内打×:

(1)加大电容C(C1=C2=C)的电容量( × ); (2)减小电阻R(RF1=RF2=R)的阻值( √ ); (3)提高电源电压( × )。

16. 图P10-16是由五个同样的与非门接成的环形振荡器。今测得输出信号的重复频率为10MHz,试求每个门的平均传输延迟时间。假定所有与非门的传输延迟时间相同,而且tPHL=tPLH=tpd。

36

解: tpd?

T11?8???10s?10ns 2n2nf2?5?10?10617.在教材P487图10.4.17给出的脉冲占空比可调的多谐振荡器电路中,已知CMOS集成施密特触发器的电源电压VDD=15V,VT+=9V,VT-=4V,试问:

(1) 为了得到占空比为q=50%的输出脉冲,R1与R2 的比值应取多少?

(2) 若给定R1=3kΩ , R2=8.2kΩ ,C=0.05μF ,电路的振荡频率为多少?输出脉冲的占 空比又是多少?

VDD?VT-V?R1ClnT?

VDD?VT?VT?VV?VT?119?lnln?34 R2/R1?lnT?lnDDVT?VDD?VT?64V?VT-VT?T1?T2?R2ClnDD?R1ClnT?VDD?VT?VT?解:(1) T1?T2?R2Cln119s?3?103?0.05?10?6lns 64??0.25?0.12?ms?0.37ms1(2) f??2.7kHz

TT0.25?0.68 q?1?T0.37?8.2?103?0.05?10?6ln18.为什么石英晶体能稳定振荡器的振荡频率?

答:当在多谐振荡器电路中接入石英晶体时,振荡器的振荡频率将取决于石英晶体的固 有谐振频率f0,而与外接电阻、电容无关。固有谐振频率由石英晶体的结晶方向和外形尺寸决定。所以,频率稳定度极高,石英晶体振荡器的频率稳定度能达到10-10~10-11。 19.在555定时器电路中,改变控制电压输入端VCO的电压,可以改变○。 ① 阈值端TH、触发端TR′的电平; ② 555定时器电路输出的高、低电平; ③ 放电三极管TD的导通与截止电平; ④ 置零输入端RD′的置零电平。

答:在555定时器电路中,改变控制电压输入端VCO的电压,可以改变①。 20.施密特触发器具有○。

① 一个稳定状态; ② 两个稳定状态; ③ 多个稳定状态; ④ 没有稳定状态 答:施密特触发器具有②。

21.欲将输入的不规则波形转换为脉冲宽度和幅度都相等的矩形波可选用○。

① 施密特触发器; ② 多谐振荡器; ③ 单稳态触发器; ④ 数据选择器 答:欲将输入的不规则波形转换为脉冲宽度和幅度都相等的矩形波可选用③。 22.可以用做延时的电路是○。

① 译码器; ② 多谐振荡器; ③ 施密特触发器; ④ 单稳态触发器 答:可以用做延时的电路是④。

37

23.555定时器接成单稳态触发器,为改变输出脉冲宽度,可以改变○○○。

① 电阻R ; ② 电容C ; ③ 电源电压VDD ;

④ 控制电压输入端的接法 ; ⑤ 输入信号的脉冲宽度。

答:555定时器接成单稳态触发器,为改变输出脉冲宽度,可以改变①②④。 24.555定时器接成的多谐振荡器时,为使输出波形的周期T增加,可以○○○○。

① 增大R1 ; ② 增大R2 ; ③ 增大C ; ④ 增加电源电压 ; ⑤ 增加控制电压输入端的电压。

答:555定时器接成的多谐振荡器时,为使输出波形的周期T增加,可以①②③⑤。 实际⑤是改变了比较器的基准电压,即改变了电容器的充放电起始值和转换值。

25.在图10.5.2(教材P492)用555定时器接成的施密特触发器电路中,用什么方法能调节回差电压的大小?

答:电源电压或外接控制电压改变时,可以改变回差电压的大小。

26.在图10.5.4(教材P493)用555定时器接成的单稳态触发器电路中,若触发脉冲宽度大于单稳态持续时间,电路能否正常工作?如果不能,则电路应做何修改?

答:若触发脉冲宽度大于单稳态持续时间后,输出脉冲宽度将等于触发脉冲的低电平持 续时间,而不再取决于电路本身的参数,电路不能正常工作。因此,出现这种情况时,输入端一定要加微分电路。

27.在图10.5.4(教材P493)用555定时器接成的单稳态触发器电路中,对触发脉冲的幅度有什么要求?

答:当输入信号vI发生负跳变时,负脉冲电压应低于555定时器内比较器C2的基准电 压VR2 ,才能使定时器的输出vO变成高电平,电路进入暂稳态。

28.在图10.5.6(教材P495)用555定时器接成的多谐振荡器电路中,如果用vO端代替 vO′端接到R2C电路输入端,去掉R1,电路能否正常工作?

答:电路不能正常工作。因为去掉R1,就断开了电源经R1对电容C的充电通路,振荡 器无法形成振荡。若R1存在,电路可以振荡,但会使vO端的负载加重,vO波形变差。 29. 图P10-29是由555定时器构成的施密特触发器电路。 (1) 在图P10-29(a)中,当VDD=15V时,没有外接控制电压,求VT+、VT- 及△VT各为多少? (2) 在图P10-29(b)中,当VDD=9V时,外接控制电压VCO=5V,求VT+、VT-及△VT各为多 少?

图P10-29

解:(1)当VDD=15V时,

21VT??VDD?10V,VT??VDD?5V,ΔVT?VT??VT??5V

33(2)当VCO=5V时,

38

1VT??VCO?5V,VT??VCO?2.5V,ΔVT?VT??VT??2.5V

2

30. 图P10-30是用555定时器组成的开机延时电路。若给定C=25μF,R=91kΩ,VCC=12V,试计算常闭开关S断开以后经过多长的延迟时间vO才跳变为高电

解:TD?RCln图P10-30

0?VCC?RCln3?1.1?91?103?25?10?6?2.5s 10?VCC331. 在图P10-31所示由555定时器构成的多谐振荡器中,若R1=R2=5.1 kΩ ,C=0.01μF, VCC=12V。试求脉冲宽度tW、振荡周期T、振荡频率f 、占空比q 。

图P10-31

解:

tW?T1?(R1?R2)Cln2?10.2?103?0.01?10?6?0.69?0.07msT?T1?T2?(R1?2R2)Cln2?15.3?103?0.01?10?6?0.69?0.106ms1f??9.47kHz

TTR?R22q?1?1??66.7%

TR1?2R23

习题答案

第十一章 数—模和模—数转换

39

1. 在教材P508图11.2.1所示的权电阻网络D/A转换器中,若取VREF=5V,试求当输入数字量为d3d2d1d0=0101时输出电压的大小。

vO??解:

VREFd323?d222?d121?d02042

5??40?23?1?22?0?21?1?20??1.5625V2????2. 在权电阻D/A转换器中,若n=6,并选MSB权电阻R5=10 kΩ,试选取其它各位权电阻。

RR??10kΩ可得出R?25?10kΩ n?16?122R25?10kΩ?20kΩ R4?n?2?422 R3?40kΩR2?80kΩR1?160kΩR0?R?320kΩ

解:根据已知条件R5?3. n位权电阻D/A转换器如图P11-3所示。

(1)试推导输出电压vO与输入数字量之间的关系式;

1(2)如n=8,VREF=10V,当Rf?8R时,如输入数字量为(20)H,试求输出电压值。

2图P11-3

解:(1)vO??

VREFRfdn?12n?1?dn?22n?2????d121?d020 R?? (2)十六进制数(20)H=(0010 0000)2 vO??10Rf108?35d2???1?2??1.25V 8?388(2Rf)2??4. 在教材P510图11.2.3所示的倒T形电阻网络D/A转换器中,已知VREF=-8V,试计算当

d3、d2、d1、d0每一位输入代码分别为1时在输出端所产生的模拟电压值。 解:d3?1 d2?1 d1?1 d0?18248vO?428vO?428vO?42vO??d2??1?1?22333?4V

?d??1?1?22?2V 21d121??1?21?1V

21d020??1?20?0.5V

22?22???5. 在教材P511图11.2.5所示的倒T形电阻网络D/A转换器中,给定VREF=5V,试计算

(1)输入数字量的d9~d0每一位为1时在输出端所产生的模拟电压值。 (2)输入为全1、全0和1000000000时对应的输出电压值。

40

解:(1)根据vO??VREF5D??d929?d828????d121?d020V nn1022?? 可求得d9~d0每一位为1时在输出端所产生的模拟电压值分别为:-2.5V,-1.25V,

-0.625V,-0.313V,-0.156V,-78.13mV,-39.06mV,-19.53mV,-9.77mV,-4.88mV。 (2)输入为全1、全0和1000000000时对应的输出电压值分别为:-4.995V,0V,-2.5V。

6. 在教材P511图11.2.5由CB7520所组成的D/A转换器中,已知VREF=-10V,试计算当输入数字量从全0变到全1时输出电压的变化范围。如果想把输出电压的变化范围缩小一半,可以采取哪些方法?

VREF?10?D??D??V n102?2?10 当D=0时,vO=0V;当D?2?1(全1)时,vO=9.99V。

解:由vO?? 如果想把输出电压的变化范围缩小一半,可以将VREF的绝对值减小一半。也可以将求和放大器的放大倍数减小一半。此时,不能再用芯片内置反馈电阻R,而应外接一个大小 等于R/2的反馈电阻。

7. 某10位倒T型电阻网络D/A转换器如图P11-7所示,当R=Rf时: (1)试求输出电压的取值范围。

(2)若要求电路输入数字量为(200)H时输出电压vO=5V,试问VREF应取何值?

图P11-7

解:(1)vO??

VREFVREFD??d929?d828????d121?d020V nn1022VvO:0~REF?(210?1)V 102?? (2)十六进制数(200)H=(10 0000 0000)2 由vO??VREFVREFVREF99d2???2??V所以VREF= -10V 91010222??8. 某一倒T型电阻网络D/A转换器,它的n=10,VREF= -5V,要求输出电压vO=4V,试问

输入二进制数应为多少?为获得20V的输出电压,有人说,在其它条件不变的情况下,增加D/A转换器的位数即可,你认为正确吗?

VREFDn(二进制数) n2vO4??819.2?819 对应的十进制数

VREF5?n10242 解:vO?? 将819转换为二进制数819=(1100110011)2

要获得20V的输出电压,只能提高基准电压,增加转换器位数只能提高精度和分辨率,且vO最大只能接近VREF值,不可能超过VREF值。

41

9. 某一倒T型电阻网络D/A转换器中,若n=10,d9=d7=1,其余位为0,在输出端测得电压vO=3.125V,问该D/A转换器的基准电压VREF=?

解:d9=d7=1,其余位为0所对应的数为10 1000 0000=512+128=640 所以VREF2nvO210?3.125?????5V

D64010.D/A转换器,其最小分辨电压VLSB=5mV,最大满刻度输出模拟电压VFSR=l0V,求该

转换器输入二进制数字量的位数。 解:由分辨率公式

VLSBV1n?n得出2?FSR?1?2001?n?11

VLSBVFSR2?111. 在10位二进制数D/A转换器中,已知其最大满刻度输出模拟电压VFSR=5V,求最小分

辨电压VLSB和分辨率。

VVLSB51?4.89mV ?n得出VLSB?nFSR?102?12?1VFSR2?1V111?10??0.00098?0.098% 分辨率为LSB?nVFSR2?12?11023 解:由

12.在要求A/D转换器的转换时间小于1μs 、小于100μs和小于0.1s三种情况,应各选择哪种类型的A/D转换器?

答:1μs→并联比较型A/D转换器;100μs→逐次渐进型A/D转换器;

0.1s→间接A/D转换器(如双积分型)。

13.如果要将一个最大幅值为5.1V的模拟信号转换为数字信号,要求能分辨出5mV的输入信号的变化,试问应选用几位的A/D转换器。 解:

5.1V5.1??1020?210?n?10位 ?35mV5?1014.如果输入电压的最高次谐波频率fi(max)=100kHz,请选择取样周期Ts,并计算最小取样频率fs。应该选择哪种类型的A/D转换器? 解:根据取样定理可得取样周期

1?5μs 故可以选择逐次渐进型A/D转换器 32fi(max)2?100?101?200kHz 最小取样频率为 fs?TsTs??15.如果将图11.3.7(教材P530)所示并联比较型A/D转换器输出的数字量增加至8位,并采用图11.3.3(b)(教材P526)所示的量化电平划分方法即:四舍五入法,试问最大的量化误差是多少?在保证VREF变化时引起的误差?△VREF/VREF)应为多少?

解:量化单位为??11LSB的条件下,VREF的相对稳定度( 22VREF2VREF2VREF??

5112n?1?129?1V1最大量化误差??REF

2511 因为△VREF在最高位比较器的基准电压上引起的误差最大,所以应保证这个误差小于

1(2n?1?1)?229?3509VREF?ΔV??ΔV?? LSB,故得到ΔVREF? REFREFn?1925115112?12?1?VREF1 ??0.2%

VREF50916.在图11.3.8(教材P532)给出的计数式A/D转换器中,若输出的数字量为10位二进制

42

数,时钟信号频率为1MHz,则完成一次转换的最长时间是多少?如果要求转换时间不得大于100μs,那么时钟信号频率应选多少?

解:完成一次转换的最长时间约为210-1个CLK周期,即(210-1)×10-6s=1.023ms 。

要求转换时间小于100μs,则时钟信号周期Tc应满足(210-1)Tc<100×10-6

1210?1时钟信号频率应满足f??Hz?10.23MHz

?6Tc100?1017.如果将图11.3.10(教材P534)所示逐次渐近型A/D转换器的输出扩展到10位,取时钟信号频率为1MHz,试计算完成一次转换操作所需要的时间。如果要求转换时间不大于10μs,那么时钟信号频率应选多少?

解:完成一次转换所需要的时间为(n+2)Tc=12×10-6s=12μs

如果要求转换时间不大于10μs,则(n?2)Tc?10μs?Tc?10μs,所以要求时12钟频率大于1.21MHz。

18.在图11.3.13(教材P538)所示的双积分型A/D转换器中,若计数器为10位二进制,时钟信号频率为1MHz,试计算转换器的最大转换时间是多少? 解:最大转换时间为2n+1Tc=211×10-6s=2.048ms

19.双积分型A/D转换器的电压波形如图11.3.12(教材P537)所示 (1)分别求出两次积分完毕时,积分器的输出电压。

(2)设第一次积分时间为T1,第二次积分时间为T2,问输出数字量与哪个时间成正比?

T1vI RCTT 第二次积分完毕时,积分器的输出电压为vO?2VREF?1vI

RCRCTv (2)第二次积分完毕时,计数器的数值为D?1I即:输出数字量与时间T1成

TcVREF解:(1)第一次积分完毕时,积分器的输出电压为vO??正比。

20.双积分型A/D转换器如图11.3.13(教材P538)所示。

(1)若输入电压VImax=1V,要求分辨率≤0.1mV,则二进制计数器总容量N应大于多少? (2)二进制计数器需要多少位?

(3)若时钟脉冲频率fc=100KHz ,∣vI∣<∣VREF∣=2V,积分器输出电压VOmax=5V,求积 分时常数RC=?

(4)∣vI∣>∣VREF∣,则转换过程会产生什么现象? 解:(1)二进制计数器总容量为N?(2)由于2n?11V1?1??1?10001 ?30.1mV0.1?10?N?2n,所以n=14,考虑附加计数器,则需15位二进制计数器。

VREF2nTc11??0.01ms (3)由于VOmax?而Tc?fc100kHzRC 所以RC?VREF2nTcVOmax2?214?1?10?5??65.54ms

5(4)由双积分型A/D转换器的工作原理知,∣vI∣>∣VREF∣时,会使计数器在二次 计数时复位溢出后重新计数,而使转换出错。

21.某双积分型A/D转换器电路中的计数器由四片十进制计数器组成,它的最大计数容量N1=(5000)10 。计数脉冲的频率fc=25KHz ,积分器的R=100 kΩ,C=1μF,输入电压vI =0~5V。

试求:

43

(1) 第一次积分的时间T1。

(2) 积分器的最大输出电压∣VOmax∣。

(3) 当VREF=±10V,若计数器的计数值N2=(1740)10时,表示输入电压vI为多大? 解:(1)第一次积分的时间T1=2nTc=2n/fc=N1/ fc =5000×40×10-6=0.2s

(2)积分器的最大输出电压VOmax2nTc0.2?vI??5?10V 3?6RC100?10?10NV1740?10T1vI2nvI?3.48V (3)由于N2?所以vI?2nREF??50002TcVREFVREF22.逐次渐近型8位A/D转换器电路中,若基准电压VREF=5V,输入电压vI =4.22V,试问

其输出数字量d7~d0=?如果其它条件不变,仅改变10位D/A转换器,那么输出数字量又会是多少?请写出两种情况的量化误差。

解:由逐次渐近型A/D转换器的工作过程知,vI与8位D/A转换器输出比较。而D/A转换器决定了输出的数字量,所以,实质是通过对D/A转换器的计算确定输出数字量的。由D/A转换器知vO??VREFDn n22nvI28?4.22当D/A转换器的vO=vI时所对应的数字量Dn???216

VREF5通过十进制转换成二进制得d7d6d5d4d3d2d1d0=1101 1000

2nvI210?4.22如果换为10位D/A转换器,则Dn???864

VREF5通过十进制转换成二进制得d9d8d7d6d5d4d3d2d1d0=11 0110 0000

只舍不入时

VREFVREF5???0.02V??max??

2562n28VVREF5???0.0049V??max?? 十位情况??REFn10102422八位情况??四舍五入时

2VREF?2n?1?12VREF?十位情况??n?12?1八位情况??2VREF2?51??0.02V?????0.01V max229?15112VREF2?5??0.0049V??max?0.00245V

211?12047 44