【精品】电梯的控制器电路设计__EDA技术毕业论文 下载本文

目录

摘 要 ............................................................. 2 正文 .............................................................. 3 1设计目的及要求................................................... 3 2设计原理 ........................................................ 3

2.1 设计实现原理 .............................................. 3 2.2项目分块及其实现方案 ....................................... 5 2.3电梯控制器的流程图 ......................................... 6 3设计内容 ........................................................ 7

3.1基本状态设计 ............................................... 7 3.2模块设计 ................................................... 8 3.3波形仿真 ................................................... 9 总结与致谢 ....................................................... 13 参考文献 ......................................................... 14 附录 ............................................................. 15

摘 要

电梯作为垂直方向的交通工具,在高层建筑和公共场所已成为不可或缺的设备。中国是全球最大的电梯市场,也具有最强的电梯生产能力,但由于缺乏自主知识产权和核心技术,自主品牌占市场的份额很少。因此要加大对电梯技术的创新和发展,提升电梯的性能,就需要引进更好的技术,电梯控制器就是很好的装置,大力开发控制器是很必要的。

电梯的微机化控制主要有以下几种形式:PLC控制;单片机控制;单板机控制;单微机控制;多微机控制;人工智能控制。本次课程设计采用了EDA技术进行操作EDA技术打破了软件和硬件间的壁垒,使计算机的软件技术与硬件实现、设计效率与产品性能合二为一,它代表了电子设计技术和应用技术的发展方向。

本设计采用Verilog HDL语言的编程技术,源程序Altera公司的Quartus II软件仿真。

关键字:EDA技术; Verilog HDL语言; Quartus II软件

正文

1设计目的及要求

1、设计一个6层电梯控制器电路,用数码管显示电梯所在楼层号,电梯初始状态为第一楼层;每楼层电梯外都有上、下楼请求开关,电梯内设有乘客到达楼层的请求开关、电梯所处楼层、上升模式及下降模式的指示;电梯每2秒升降一层,到达有停站请求的楼层后,经4秒电梯门打开,开门指示灯亮,开门4秒后,指示灯灭,关门,关门时间3秒,电梯继续运行。可以通过快速关门和关门中断信号控制;能记忆电梯内外的请求信号,当电梯处于上升模式时,只响应比电梯所在位置高的楼请求信号,直到最后一个上楼请求执行完毕,再进入下降模式。

2、进一步巩固理论知识,培养所学理论知识的在实际中的应用能力;掌握EDA设计的一般方法;熟悉一种EDA软件,掌握一般EDA系统的调试方法;利用

EDA软件设计一个电子技术综合问题,培养Verilog HDL编程、书写技术报告的能力。为以后进行工程实际问题的研究打下设计基础。

2设计原理

2.1 设计实现原理

根据系统设计要求,并考虑到系统的可检验性,整个系统的输入输出接口设计如图1所示:系统工作用2Hz基准时钟信号CLKIN,楼层上升请求键UPIN,楼层下降请求键DOWNIN,楼层选择键入键ST_CH,提前关门输入键CLOSE,延迟关门输入键DELAY,电梯运行的开关键RUN_STOP,电梯运行或停止指示键LAMP,电梯运行或等待时间指示键RUN_WAIT,电梯所在楼层指示数码管ST_OUT,楼层选择指示数码管DIRECT。

图 1. 电梯控制器DTKZQ的输入输出接口图

各输入端口的功能如下:

CLKIN:基准时钟信号,为系统提供2Hz的时钟脉冲,上升沿有效; UPIN:电梯上升请求键。由用户向电梯控制器发出上升请求。高电平有效; DOWNIN:电梯下降请求键,由用户向电梯控制器发出下降请求。高电平有效; ST_CH[2..0]:楼层选择键入键,结合DIRECT完成楼层选择的键入,高电平有效;

CLOSE:提前关门输入键。可实现无等待时间的提前关门操作,高电平有效; DELAY:

延迟关门输入键。可实现有等待时间的延迟关门操作,高电平有效;

RUN_STOP:电梯运行或停止开关键。可实现由管理员控制电梯的运行或停止,高电平有效。 一下为输出端口:

LAMP:电梯运行或等待指示键,指示电梯的运行或等待状况。高电平有效; RUN_WAIT:电梯运行或等待时间指示键,指示电梯运行状况或等待时间的长短,高电平有效;

ST_OUT:电梯所在楼层指示数码管,只是电梯当前所在的楼层数。即1~6层,高电平有效;

DIRECT:楼层选择指示数码管,指示用户所要选择的楼层数,高电平有效。

2.2项目分块及其实现方案

电梯的控制状态包括运行状态﹑停止状态及等待状态,其中运行状态又包含向上状态和向下状态。主要动作有开、关门,乘客可通过键入开、关门按键,呼唤按键,指定楼层按钮等来控制电梯的行与停。

据此,整个电梯控制器DTLZQ应包括如下几个组成部分:①时序输出及楼选计数器;②电梯服务请求处理器;③电梯升降控制器;④上升及下降寄存器;⑤电梯次态生成器。该电梯控制器设计的关键是确定上升及下降寄存器的置位与复位。整个系统的内部组成结构图2所示。

图2. 电梯控制器的内部组成结构图

图3. 电梯控制器系统框图

2.3电梯控制器的流程图

图4 总流程图