电子技术基础-检测题习题解析(附带答案详解) 下载本文

一、填空题:(每空0.5分,共25分) 1、N型半导体是在本征半导体中掺入极微量的 五 价元素组成的。这种半导体内的多数载流子为 自由电子 ,少数载流子为 空穴 ,不能移动的杂质离子带 正 电。P型半导体是在本征半导体中掺入极微量的 三 价元素组成的。这种半导体内的多数载流子为 空穴 ,少数载流子为 自由电子 ,不能移动的杂质离子带 负 电。

2、三极管的内部结构是由 发射 区、 基 区、 集电区 区及 发射 结和 集电 结组成的。三极管对外引出的电极分别是 发射 极、 基 极和 集电 极。

3、PN结正向偏置时,外电场的方向与内电场的方向 相反 ,有利于 多数载流子 的

扩散 运动而不利于 少数载流子 的 漂移 ;PN结反向偏置时,外电场的方向与内电场的方向 一致 ,有利于 少子 的 漂移 运动而不利于 多子 的 扩散 ,这种情况下的电流称为 反向饱和 电流。第1章 检测题 (共100分,120分钟)

4、PN结形成的过程中,P型半导体中的多数载流子由 P 向 N 区进行扩散,N型半导体中的多数载流子由 N 向 P 区进行扩散。扩散的结果使它们的交界处建立起一个 空间电荷区 ,其方向由 N 区指向 P 区。 空间电荷区 的建立,对多数载流子的 扩散 起削弱作用,对少子的 漂移 起增强作用,当这两种运动达到动态平衡时, PN结 形成。

5、检测二极管极性时,需用万用表欧姆挡的 R×1K 档位,当检测时表针偏转度较大时,与红表棒相接触的电极是二极管的 阴 极;与黑表棒相接触的电极是二极管的 阳 极。检测二极管好坏时,两表棒位置调换前后万用表指针偏转都很大时,说明二极管已经被 击穿 ;两表棒位

1

置调换前后万用表指针偏转都很小时,说明该二极管已经 绝缘老化不通 。

6、单极型晶体管又称为 场效应(MOS) 管。其导电沟道分有 N 沟道和 P 沟道。

7、稳压管是一种特殊物质制造的 面 接触型 硅晶体 二极管,正常工作应在特性曲线的 反向击穿 区。

8、MOS管在不使用时应避免 栅 极悬空,务必将各电极短接。

二、判断正误:(每小题1分,共10分)

1、P型半导体中不能移动的杂质离子带负电,说明P型半导体呈负电性。 (错)

2、自由电子载流子填补空穴的“复合”运动产生空穴载流子。 (对)

3、用万用表测试晶体管时,选择欧姆档R×10K档位。 (错)

4、PN结正向偏置时,其内外电场方向一致。 (错)

5、无论在任何情况下,三极管都具有电流放大能力。 (错)

6、双极型晶体管是电流控件,单极型晶体管是电压控件。 (对)

7、二极管只要工作在反向击穿区,一定会被击穿。 (错)

8、当三极管的集电极电流大于它的最大允许电流ICM

时,该管必被击穿。 (错)

9、双极型三极管和单极型三极管的导电机理相同。 (错)

10、双极型三极管的集电极和发射极类型相同,因此可以互换使用。 (错)

三、选择题:(每小题2分,共20分) 1、单极型半导体器件是( C )。

A、二极管; B、双极型三极管; C、场效应

管; D、稳压管。

2

2、P型半导体是在本征半导体中加入微量的( A )元素构成的。

A、三价; B、四价; C、五价; D、六

价。

3、稳压二极管的正常工作状态是( C )。

A、导通状态; B、截止状态; C、反向

击穿状态; D、任意状态。

4、用万用表检测某二极管时,发现其正、反电阻均约等于1KΩ,说明该二极管( C )。

A、已经击穿; B、完好状态; C、内部老化

不通; D、无法判断。

5、PN结两端加正向电压时,其正向电流是( A )而成。

A、多子扩散; B、少子扩散; C、少子漂移;

D、多子漂移。

6、测得NPN型三极管上各电极对地电位分别为VE

=2.1V,VB=2.8V,VC=4.4V,说明此三极管处在( A )。

A、放大区; B、饱和区; C、截止区; D、

反向击穿区。

7、绝缘栅型场效应管的输入电流( C )。

A、较大; B、较小; C、为零; D、无

法判断。

8、正弦电流经过二极管整流后的波形为( C )。

A、矩形方波; B、等腰三角波; C、正弦

半波; D、仍为正弦波。

9、三极管超过( C )所示极限参数时,必定被损坏。

A、集电极最大允许电流ICM; B、集—

射极间反向击穿电压U(BR)CEO;

C、集电极最大允许耗散功率PCM; D、管子

的电流放大倍数?。

10、若使三极管具有电流放大能力,必须满足的外部条件是( C )

A、发射结正偏、集电结正偏; B、发射结

3

反偏、集电结反偏;

C、发射结正偏、集电结反偏; D、发射结

反偏、集电结正偏。

四、简述题:(每小题4分,共28分)

1、N型半导体中的多子是带负电的自由电子载流子,P型半导体中的多子是带正电的空穴载流子,因此说N型半导体带负电,P型半导体带正电。上述说法对吗?为什么?

答:这种说法是错误的。因为,晶体在掺入杂质后,只是共价键上多出了电子或少了电子,从而获得了N型半导体或P型半导体,但整块晶体中既没有失电子也没有得电子,所以仍呈电中性。

2、某人用测电位的方法测出晶体管三个管脚的对地电位分别为管脚①12V、管脚②3V、管脚③3.7V,试判断管子的类型以及各管脚所属电极。

答:管脚③和管脚②电压相差0.7V,显然一个硅管,是基极,一个是发射极,而管脚①比管脚②和③的电位都高,所以一定是一个NPN型硅管。再根据管子在放大时的原则可判断出管脚②是发射极,管脚③是基极,管脚①是集电极。

3、图1-29所示电路中,已知E=5V,ui?10sin?tV,二极管为理想元件(即认为正向导通时电阻R=0,反向阻断时电阻R=∞),试画出u0的波形。 图1-29

答:分析:根据电路可知,当ui>E

时,二极管导通u0=ui,当ui

4、半导体和金属导体的导电机理有什么不同?单极型和双极型晶体管的导电情况又有何不同?

4

答:金属导体中只有自由电子一种载流子参与导电,而半导体中则存在空穴载流子和自由电子两种载流子,它们同时参与导电,这就是金属导体和半导体导电机理上的本质不同点。单极型晶体管内部只有多数载流子参与导电,因此和双极型晶体管中同时有两种载流子参与导电也是不同的。

5、图1-30所示电路中,硅稳压管DZ1的稳定电压为8V,DZ2的稳定电压为6V,正向压降均为0.7V,求各电路的输出电压U0。

答:(a)图:两稳压管串联,总稳压值为14V,所以U0=14V;

(b)图:两稳压管并联,输出电压按小值计,因此U0=6V;

(c)图:两稳压管反向串联,U0=8.7V;

(d)图:两稳压管反向并联,可认为DZ1截止不通,则U0=0.7V。

6、半导体二极管由一个PN结构成,三极管则由两个PN结构成,那么,能否将两个二极管背靠背地连接在一起构成一个三极管?如不能,说说为什么?

答:将两个二极管背靠背地连接在一起是不能构成一个三极管的。因为,两个背靠背的二极管,其基区太厚,不符合构成三极管基区很薄的内部条件,即使是发射区向基区发射电子,到基区后也都会被基区中大量的空穴复合掉,根本不可能有载流子继续向集电区扩散,所以这样的“三极管”是不会有电流放大作用的。

5

图1-30

7、如果把三极管的集电极和发射极对调使用?三极管会损坏吗?为什么?

答:集电极和发射极对调使用,三极管不会损坏,但是其电流放大倍数大大降低。因为集电极和发射极的杂技浓度差异很大,且结面积也不同。

五、计算分析题:(共17分)

1、图1-31所示三极管的输出特性曲线,试指出各区域名称并根据所给出的参数进行分析计算。(8分)

(1)UCE=3V,IB=60μA,IC=? (2)IC=4mA,UCE=4V,ICB=?

(3)UCE=3V,IB由40~60μA时,β=?

IC (mA) 5 4 A 3 2 1 100μA 80μA B 60μA 40μA 20μA 0 1 2 3 4 5 6 7 8 图1-31

C IB=0 UCE (V)

解:A区是饱和区,B区是放大区,C区是截止区。 (1)观察图6-25,对应IB=60μA、UCE=3V处,集

6

电极电流IC约为3.5mA;

(2)观察图6-25,对应IC=4mA、UCE=4V处,IB约小于80μA和大于70μA;

(3)对应ΔIB=20μA、UCE=3V处,ΔIC≈1mA,所以β≈1000/20≈50。

2、已知NPN型三极管的输入—输出特性曲线如图1-32所示,当

(1)UBE=0.7V,UCE=6V,IC=? (2)IB=50μA,UCE=5V,IC=?

(3)UCE=6V,UBE从0.7V变到0.75V时,求IB和IC

的变化量,此时的???(9分)

IB (μA) 120 80 60 40 20 IC (mA) 10 8 6 4 2 UBE (V)

100μA 80μA 60μA 40μA 20μA IB=0 0 0.1 0.3 0.5 0.7 0.9 (a)输入特性曲线

0 1 2 3 4 5 6 7 8 (b)输出特性曲线

UCE (V)

图1-32

解:(1)由(a)曲线查得UBE=0.7V时,对应IB=30μA,由(b)曲线查得IC≈3.6mA;

(2)由(b)曲线可查得此时IC≈5mA;

(3)由输入特性曲线可知,UBE从0.7V变到0.75V的过程中,ΔIB≈30μA,由输出特性曲线可知,ΔIC≈2.4mA,所以β≈2400/30≈80。

第2章 检测题 (共100分,120分钟) 一、填空题:(每空0.5分,共21分)

1、基本放大电路的三种组态分别是: 共发射极 放大电路、 共集电极 放大电路和 共基极 放大电路。

7

2、放大电路应遵循的基本原则是: 发射 结正偏; 集电 结反偏。

3、将放大器 输出信号 的全部或部分通过某种方式回送到输入端,这部分信号叫做 反馈 信号。使放大器净输入信号减小,放大倍数也减小的反馈,称为 负 反馈;使放大器净输入信号增加,放大倍数也增加的反馈,称为 正 反馈。放大电路中常用的负反馈类型有 电压串联 负反馈、 电流串联 负反馈、 电压并联 负反馈和 电流并联 负反馈。

4、射极输出器具有 电压增益 恒小于1、接近于1, 输入信号 和 输出信号 同相,并具有 输入电阻 高和 输出电阻 低的特点。

5、共射放大电路的静态工作点设置较低,造成截止失真,其输出波形为 上 削顶。若采用分压式偏置电路,通过 反馈环节 调节 合适的基极电位 ,可达到改善输出波形的目的。

6、对放大电路来说,人们总是希望电路的输入电阻 越大 越好,因为这可以减轻信号源的负荷。人们又希望放大电路的输出电阻 越小 越好,因为这可以增强放大电路的整个负载能力。

7、反馈电阻RE的数值通常为 几十至几千欧 ,它不但能够对直流信号产生 负反馈 作用,同样可对交流信号产生 负反馈 作用,从而造成电压增益下降过多。为了不使交流信号削弱,一般在RE的两端 并联一个约为几十微法的较大射极旁路电容CE 。

8、放大电路有两种工作状态,当ui=0时电路的状态称为 静 态,有交流信号ui输入时,放大电路的工作状态称为 动 态。在 动 态情况下,晶体管各极电压、电流均包含 直流 分量和 交流 分量。放大器的输入电阻越 大 ,就越能从前级信号源获得较大的电信号;输出电阻越 小 ,放大器带负载能力就越强。

9、电压放大器中的三极管通常工作在 放大 状态下,功率放大器中的三极管通常工作在 极限 参数情况下。功放电路不仅要求有足够大的 输出电压 ,而且要求电路中

8

还要有足够大的 输出电流 ,以获取足够大的功率。

10、晶体管由于在长期工作过程中,受外界 温度 及电网电压不稳定的影响,即使输入信号为零时,放大电路输出端仍有缓慢的信号输出,这种现象叫做 零点 漂移。克服 零点 漂移的最有效常用电路是 差动 放大电路。

二、判断下列说法的正确与错误:(每小题1分,共19分)

1、放大电路中的输入信号和输出信号的波形总是反相关系。 (错)

2、放大电路中的所有电容器,起的作用均为通交隔直。 (对)

3、射极输出器的电压放大倍数等于1,因此它在放大电路中作用不大。 (错)

4、分压式偏置共发射极放大电路是一种能够稳定静态工作点的放大器。 (对)

5、设置静态工作点的目的是让交流信号叠加在直流量上全部通过放大器。 (对)

6、晶体管的电流放大倍数通常等于放大电路的电压放大倍数。 (错)

7、微变等效电路不能进行静态分析,也不能用于功放电路分析。 (对)

8、共集电极放大电路的输入信号与输出信号,相位差为180°的反相关系。(错)

9、微变等效电路中不但有交流量,也存在直流量。 (错)

10、基本放大电路通常都存在零点漂移现象。 (对)

11、普通放大电路中存在的失真均为交越失真。 (错)

12、差动放大电路能够有效地抑制零漂,因此具有很高的共模抑制比。 (对)

13、放大电路通常工作在小信号状态下,功放电路通常工作在极限状态下。 (对)

9

14、输出端交流短路后仍有反馈信号存在,可断定为电流负反馈。 (对)

15、共射放大电路输出波形出现上削波,说明电路出现了饱和失真。 (错)

16、放大电路的集电极电流超过极限值ICM,就会造成管子烧损。 (错)

17、共模信号和差模信号都是电路传输和放大的有用信号。 (错)

18、采用适当的静态起始电压,可达到消除功放电路中交越失真的目的。 (对)

19、射极输出器是典型的电压串联负反馈放大电路。 (对)

三、选择题:(每小题2分,共20分)

1、基本放大电路中,经过晶体管的信号有(C)。 A、直流成分; B、交流成分; C、交

直流成分均有。

2、基本放大电路中的主要放大对象是(B)。

A、直流信号; B、交流信号; C、

交直流信号均有。

3、分压式偏置的共发射极放大电路中,若VB点电位过高,电路易出现(B)。

A、截止失真; B、饱和失真; C、

晶体管被烧损。

4、共发射极放大电路的反馈元件是(B)。

A、电阻RB; B、电阻RE; C、电阻

RC。

5、功放首先考虑的问题是(A)。 A、管子的工作效率; B、不失真问题; C、

管子的极限参数。

6、电压放大电路首先需要考虑的技术指标是(A)。

A、放大电路的电压增益; B、不失真问题;

C、管子的工作效率。

7、射极输出器的输出电阻小,说明该电路的(A) A、带负载能力强; B、带负载能力差; C、

10

减轻前级或信号源负荷。

8、功放电路易出现的失真现象是(C)。

A、饱和失真; B、截止失真; C、

交越失真。

9、基极电流iB的数值较大时,易引起静态工作点Q接近(B)。

A、截止区; B、饱和区; C、死区。 10、射极输出器是典型的(C)。 A、电流串联负反馈; B、电压并联负反馈; C、

电压串联负反馈。

四、简答题:(共23分)

1、共发射极放大器中集电极电阻RC起的作用是什么?(3分)

答:RC起的作用是把晶体管的电流放大转换成放大器的电压放大。

2、放大电路中为何设立静态工作点?静态工作点的高、低对电路有何影响?(4分)

答:设立静态工作点的目的是使放大信号能全部通过放大器。Q点过高易使传输信号部分进入饱和区;Q点过低易使传输信号部分进入截止区,其结果都是信号发生失真。

3、指出图2-22所示各放大电路能否正常工作,如不能,请校正并加以说明。(8分)

11

RC C1 +RB2 +VCC

C2 + RB1 C1 +RB2 C2 + +VCC

T (a)

RB1 1 +C RB2 RC -VCC

C2 + (b)

RB1 RC +C2 C1 + T RB2 RE +VCC

T CE

(c)

图2-22 检测题2-4-3电路图

(d)

答:(a)图缺少基极分压电阻RB1,造成VB=UCC太高而使信号进入饱和区发生失真,另外还缺少RE、CE负反馈环节,当温度发生变化时,易使放大信号产生失真;

(b)图缺少集电极电阻RC,无法起电压放大作用,同时少RE、CE负反馈环节;

(c)图中C1、C2的极性反了,不能正常隔直通交,而且也缺少RE、CE负反馈环节;

(d)图的管子是PNP型,而电路则是按NPN型管子设置的,所以,只要把管子调换成NPN型管子即可。

4、说一说零点漂移现象是如何形成的?哪一种电路能够有效地抑制零漂?(4分)

答:直接耦合的多级放大电路,当输入信号为零时,输出信号电压并不为零的现象称为零点漂移。晶体管参数受温度的影响是产生零漂的根本和直接原因。采用差动放大电路可以有效地解决零漂问题。

5、为削除交越失真,通常要给功放管加上适当的正向偏置电压,使基极存在的微小的正向偏流,让功放管处于微导通状态,从而消除交越失真。那么,这一正向偏置电压是否越大越好呢?为什么?(4分)

12

答:这一正向电压较小,仅使两个管子都工作在微导通状态即可。因为,交越失真实际上是两个功放管都存在正向死区电压造成的,消除交越失真,实际上就是解决死区电压的问题。如果这一正向偏置电压大于死区电压较多,势必造成两个功放管不能正常工作。

五、计算题:(共17分)

1、如图2-23所示分压式偏置放大电路中,已知RC=3.3KΩ,RB1=40KΩ,RB2=10KΩ,RE=1.5KΩ,β=70。求

+25V C1 RB1 RC + C2 + ui RB2 RE CE u0 图2-23 检测题2-5-1电路图

静态工作点IBQ、ICQ和UCEQ。(8分,图中晶体管为硅管)

解:静态工作点为:

25?105?0.7?5V ICQ?IEQ??2.87mA40?101.52.87IB??40?A 1?70UCE?25?2.87(3.3?1.5)?11.2VVB?2、画出图2-23所示电路的微变等效电路,并对电路进行动态分析。要求解出电路的电压放大倍数Au,电路的输入电阻ri及输出电阻r0。(9分)

解:图2-23的微变等效电路如下图所示。

13

ii ui RB1 RB2 ib βib RC rbe u0

动态分析:rbe?300??(1?70)26mV?943? 2.87mA ri=RB1// RB2// rbe=40000//10000//943≈843Ω

r0=RC=3.3KΩ

Au???RC3300??70??245 rbe943第3章 检测题 (共100分,120分钟)

一、填空题(每空0.5分,共20分)

1、若要集成运放工作在线性区,则必须在电路中引入 深度负 反馈;若要集成运放工作在非线性区,则必须在电路中引入 正 反馈或者在 开环工作 状态下。集成运放工作在线性区的特点是输入电流 等于零和 输出电阻 等于零;工作在非线性区的特点:一是输出电压只具有 两种 状态和净输入电流等于 零 ;在运算放大器电路中,集成运放工作在 线性 区,电压比较器工作在 非线性 区。

2、集成运算放大器具有 同相 和 反相 两个输入端,相应的输入方式有 同相 输入、 反相 输入和 双端 输入三种。

3、理想运算放大器工作在线性区时有两个重要特点:一是差模输入电压 相等 ,称为 虚短 ;二是输入电流 等于零 ,称为 虚断 。

4、理想集成运放的Au0= ∞ ,ri= ∞ ,ro= 0 ,KCMR= ∞ 。

5、 反相 比例运算电路中反相输入端为虚地, 同相 比例运算电路中的两个输入端电位等于输入电压。 同相

14

比例运算电路的输入电阻大, 反相 比例运算电路的输入电阻小。

6、 同相 比例运算电路的输入电流等于零, 反相 比例运算电路的输入电流等于流过反馈电阻中的电流。 同相 比例运算电路的比例系数大于1,而 反相 比例运算电路的比例系数小于零。

7、 同相输入 运算电路可实现Au>1的放大器, 反相输入 运算电路可实现Au<0的放大器, 微分 运算电路可将三角波电压转换成方波电压。

8、 滞回 电压比较器的基准电压UR=0时,输入电压每经过一次零值,输出电压就要产生一次 跃变 ,这时的比较器称为 过零 比较器。

9、集成运放的非线性应用常见的有 单门限比较器 、 滞回比较器 和 方波 发生器。

10、 滞回 比较器的电压传输过程中具有回差特性。 二、判断下列说法的正确与错误:(每小题1分,共10分)

1、电压比较器的输出电压只有两种数值。 (对)

2、集成运放使用时不接负反馈,电路中的电压增益称为开环电压增益。 (错)

3、“虚短”就是两点并不真正短接,但具有相等的电位。 (对)

4、“虚地”是指该点与“地”点相接后,具有“地”点的电位。 (错)

5、集成运放不但能处理交流信号,也能处理直流信号。 (对)

6、集成运放在开环状态下,输入与输出之间存在线性关系。 (错)

7、同相输入和反相输入的运放电路都存在“虚地”现象。 (错)

8、理想运放构成的线性应用电路,电压增益与运放本身的参数无关。 (错)

9、各种比较器的输出只有两种状态。

15

(对)

10、微分运算电路中的电容器接在电路的反相输入端。 (对)

三、选择题:(每小题2分,共20分) 1、理想运放的开环放大倍数Au0为(A),输入电阻为(A),输出电阻为(B)。

A、∞; B、0; C、不定。 2、国产集成运放有三种封闭形式,目前国内应用最多的是(C)。

A、扁平式; B、圆壳式; C、

双列直插式。

3、由运放组成的电路中,工作在非线性状态的电路是(C)。

A、反相放大器; B、差分放大器; C、电

压比较器。

4、理想运放的两个重要结论是(B)。

A、虚短与虚地; B、虚断与虚短; C、

断路与短路。

5、集成运放一般分为两个工作区,它们分别是(B)。 A、正反馈与负反馈; B、线性与非线性; C、

虚断和虚短。

6、(B)输入比例运算电路的反相输入端为虚地点。 A、同相; B、反相; C、双

端。

7、集成运放的线性应用存在(C)现象,非线性应用存在(B)现象。

A、虚地; B、虚断; C、虚

断和虚短。

8、各种电压比较器的输出状态只有(B)。

A、一种; B、两种; C、三

种。

9、基本积分电路中的电容器接在电路的(C)。

A、反相输入端; B、同相输入端; C、反

相端与输出端之间。

16

10、分析集成运放的非线性应用电路时,不能使用的概念是(B)。

A、虚地; B、虚短; C、虚

断。

四、问题:(共20分)

1、集成运放一般由哪几部分组成?各部分的作用如何?(4分)

答:集成运放一般由输入级、输出级和中间级及偏置电路组成。输入级一般采用差动放大电路,以使运放具有较高的输入电阻及很强的抑制零漂的能力,输入级也是决定运放性能好坏的关键环节;中间级为获得运放的高开环电压放大倍数(103~107),一般采用多级共发射极直接耦合放大电路;输出级为了具有较低的输出电阻和较强的带负载能力,并能提供足够大的输出电压和输出电流,常采用互补对称的射极输出器组成;为了向上述三个环节提供合适而又稳定的偏置电流,一般由各种晶体管恒流源电路构成偏置电路满足此要求。

2、何谓“虚地”?何谓“虚短”?在什么输入方式下才有“虚地”?若把“虚地”真正接“地”,集成运放能否正常工作?(4分)

答:电路中某点并未真正接“地”,但电位与“地”点相同,称为“虚地”;电路中两点电位相同,并没有真正用短接线相连,称为“虚短”,若把“虚地”真正接“地”,如反相比例运放,把反相端也接地时,就不会有ii=if成立,反相比例运算电路也就无法正常工作。

3、集成运放的理想化条件主要有哪些?(3分)

答:集成运放的理想化条件有四条:①开环差模电压放大倍数AU0=∞;②差模输入电阻rid=∞;③开环输出电阻r0=0;④共模抑制比KCMR=∞。

4、在输入电压从足够低逐渐增大到足够高的过程中,单门限电压比较器和滞回比较器的输出电压各变化几

17

次?(3分)

答:在输入电压从足够低逐渐增大至足够高的过程中,单门限电压比较器和滞回比较器的输出电压均只跃变一次。

5、集成运放的反相输入端为虚地时,同相端所接的电阻起什么作用?(3分)

答:同相端所接电阻起平衡作用。 6、应用集成运放芯片连成

R 各种运算电路时,为什么首先要对电路进行调零?(3分) 1MΩ -∞ 答:调零是为了抑制零漂,

+10V 使运算更准确。

+V U五、计算题:(共30分) 1、图3-17所示电路为应用

图3-17 检测题5.2电路图 集成运放组成的测量电阻的原

理电路,试写出被测电阻Rx与电压表电压U0的关系。(10分)

x 0

解:从电路图来看,此电路为一反相比例运算电路,因此:

U0??Rx?10??10?5Rx 6102、图3-18所示电路中,已知R1=2K?,Rf=5K?,R2=2K?,R3=18K?,Ui=1V,求输出电压Uo。(10分)

解:此电路为同相输入电路。

图3-18

18

U??U??18?1?0.9V2?185U0?(1?)?0.9?3.15V2 3、图3-19所示电路中,已知电阻Rf =5R1,输入电压Ui=5mV,求输出电压U0。(10分)

图3-19

解:U01=Ui=5mV= Ui2,第二级运放是反向比例运算电路,所以:

U0??5R1Ui2??5?5??25mV R1第4章 检测题 (共80分,100分钟) 一、填空题(每空0.5分,共25分) 1、在时间上和数值上均作连续变化的电信号称为 模拟 信号;在时间上和数值上离散的信号叫做 数字 信号。 2、在正逻辑的约定下,“1”表示 高 电平,“0”表示 低 电平。

3、数字电路中,输入信号和输出信号之间的关系是 逻辑 关系,所以数字电路也称为 逻辑 电路。在 逻辑 关系中,最基本的关系是 与逻辑 、 或逻辑 和 非逻辑 。 4、用来表示各种计数制数码个数的数称为 基数 ,同一数码在不同数位所代表的 位权 不同。十进制计数各位的 基 是10, 位权 是10的幂。

5、 8421 BCD码和 2421 码是有权码; 余3 码和 格

19

雷 码是无权码。

6、 进位制 是表示数值大小的各种方法的统称。一般都是按照进位方式来实现计数的,简称为 数 制。任意进制数转换为十进制数时,均采用 按位权展开求和 的方法。 7、十进制整数转换成二进制时采用 除2取余 法;十进制小数转换成二进制时采用 乘2取整 法。

8、十进制数转换为八进制和十六进制时,应先转换成 二进 制,然后再根据转换的 二进制 数,按照 三位 一组转换成八进制;按 四位 一组转换成十六进制。

9、8421BCD码是最常用也是最简单的一种BCD代码,各位的权依次为 8 、 4 、

2 、 1 。8421BCD码的显著特点是它与 二进制 数码的4位等值 0~9 完全相同。

10、 原码 、 反码 和 补码 是把符号位和数值位一起编码的表示方法,是计算机中数的表示方法。在计算机中,数据常以 补码 的形式进行存储。

11、逻辑代数的基本定律有 分配 律、 结合 律、 交换 律、 反演 律和 非非 律。

12、最简与或表达式是指在表达式中 或项 最少,且 与项 也最少。

13、卡诺图是将代表 最小项 的小方格按 相邻 原则排列而构成的方块图。卡诺图的画图规则:任意两个几何位置相邻的 最小项 之间,只允许 一位变量 的取值不同。

14、在化简的过程中,约束项可以根据需要看作 “1” 或 “0” 。

二、判断正误题(每小题1分,共8分) 1、输入全为低电平“0”,输出也为“0”时,必为“与”逻辑关系。 (错)

2、或逻辑关系是“有0出0,见1出1”。 (错)

3、8421BCD码、2421BCD码和余3码都属于有权码。 (错)

4、二进制计数中各位的基是2,不同数位的权是2的幂。 (对)

20

5、格雷码相邻两个代码之间至少有一位不同。 (错)

6、A?B?A?B是逻辑代数的非非定律。 (错)

7、卡诺图中为1的方格均表示一个逻辑函数的最小项。 (对)

8、原码转换成补码的规则就是各位取反、末位再加1。 (对)

三、选择题(每小题2分,共12分)

1、逻辑函数中的逻辑“与”和它对应的逻辑代数运算关系为( B )。

A、逻辑加 B、逻辑乘 C、逻辑非 2.、十进制数100对应的二进制数为( C )。 A、1011110 B、1100010 C、1100100 D、11000100 3、和逻辑式AB表示不同逻辑关系的逻辑式是( B )。

A?B B、A?B C、A?B?B D、AB?A A、4、数字电路中机器识别和常用的数制是( A )。

A、二进制 B、八进制 C、十进制 D、十六进制

5、[+56]的补码是( D )。 A、00111000B B、11000111B C、01000111B D、01001000B

6、所谓机器码是指( B )。

A、计算机内采用的十六进制码 B、符号位数码化了的二进制数码

C、带有正负号的二进制数码 D、八进制数 四、简述题(每小题3分,共12分)

1、数字信号和模拟信号的最大区别是什么?数字电路和模拟电路中,哪一种抗干扰能力较强?

答:数字信号是离散的,模拟信号是连续的,这是它们的最大区别。它们之中,数字电路的抗干扰能力较强。 2、何谓数制?何谓码制?在我们所介绍范围内,哪些属于有权码?哪些属于无权码?

21

答:数制是指计数的进制,如二进制码、十进制码和十六进制码等等;码制是指不同的编码方式,如各种BCD码、循环码等。在本书介绍的范围内,8421BCD码和2421BCD码属于有权码;余3码和格雷码属于无权码。

3、试述补码转换为原码应遵循的原则及转换步骤。 答:一般按照求负数补码的逆过程,数值部分应是最低位减1,然后取反。但是对二进制数来说,先减1后取反和先取反后加1得到的结果是一样的,因此也可以采用取反加1 的方法求其补码的原码。

4、试述卡诺图化简逻辑函数的原则和步骤。

答:用卡诺图化简时,合并的小方格应组成正方形或长方形,同时满足相邻原则。利用卡诺图化简逻辑函数式的步骤如下:

①根据变量的数目,画出相应方格数的卡诺图;

②根据逻辑函数式,把所有为“1”的项画入卡诺图中; ③用卡诺圈把相邻最小项进行合并,合并时就遵照卡诺圈最大化原则;

④根据所圈的卡诺圈,消除圈内全部互非的变量,每一个圈作为一个“与”项,将各“与”项相或,即为化简后的最简与或表达式。

五、计算题(共43分)

1、用代数法化简下列逻辑函数(12分) ①F?(A?B)C?AB=AB?C ②F?AC?AB?BC=AC?B ③F?ABC?ABC?ABC?ABC?ABC=AB?AB?BC ④F?AB?BCD?CD?ABC?ACD=AB?CD?BC 2、用卡诺图化简下列逻辑函数(12分) ①F??m(3,4,5,10,11,12)??d(1,2,13) =BC?BC?ABD ②F(ABCD)??m(1,2,3,5,6,7,8,9,12,13)=AC?CD?AC ③F?(A、=ABC?ACD?BC B、C 、D)??m(0, 1, 6, 7, 8, 12, 14, 15)④

F?(A、 B、C 、D)? 1, 5, 7, 8, 14, 15)??d(3, 9, 12)?m(0,22

AD?BC?ABC?ACD 3、完成下列数制之间的转换(8分)

①(365)10=(101101101)2=(555)8=(16D)16 ②(11101.1)2=(29.5)10=(35.4)8=(1D.8)16 ③(57.625)10=(71.5)8=(39.A)16 4、完成下列数制与码制之间的转换(5分)

①(47)10=(01111010)余3码=(01000111)8421码 ②(3D)16=(00101101)格雷码

5、写出下列真值的原码、反码和补码(6分)

①[+36]=[0 0100100B]原=[0 1011011B ]反=[0 1011100B]补

②[-49]=[1 0110001B]原=[1 1001110B]反=[1 1001111B]补

第5章 检测题(共100分,120分钟) 一、填空题(每空0.5分,共25分)

1、具有基本逻辑关系的电路称为 门电路 ,其中最基本的有 与门 、 或门 和非门。 2、具有“相异出1,相同出0”功能的逻辑门是 异或 门,它的反是 同或 门。

3、数字集成门电路按 开关 元件的不同可分为TTL和CMOS两大类。其中TTL集成电路是 双极 型,CMOS集成电路是 单极 型。集成电路芯片中74LS系列芯片属于 双极 型集成电路,CC40系列芯片属于 单极 型集成电路。 4、功能为“有0出1、全1出0”的门电路是 或非 门;具有“ 有1出1,全0出0 ”功能的门电路是或门;实际中集成的 与非 门应用的最为普遍。

5、普通的TTL与非门具有 图腾 结构,输出只有 高电平“1” 和 低电平“0” 两种状态;经过改造后的三态门除了具有 “1” 态和 “0” 态,还有第三种状态 高阻 态。 6、使用三态门可以实现总线结构;使用 OC 门可实现“线与”逻辑。

23

7、一般TTL集成电路和CMOS集成电路相比, TTL 集成门的带负载能力强, CMOS

集成门的抗干扰能力强; CMOS 集成门电路的输入端通常不可以悬空。 8、一个 PMOS 管和一个 NMOS 管并联时可构成一个传输门,其中两管源极相接作为 输入 端,两管漏极相连作为 输出 端,两管的栅极作为 控制 端。

9、具有图腾结构的TTL集成电路,同一芯片上的输出端,不允许 并 联使用;同一芯片上的CMOS集成电路,输出端可以 并 联使用,但不同芯片上的CMOS集成电路上的输出端是不允许 并 联使用的。

10、TTL门输入端口为 “与” 逻辑关系时,多余的输入端可 悬空 处理;TTL门输入端口为 “或” 逻辑关系时,多余的输入端应接 低 电平;CMOS门输入端口为“与”逻辑关系时,多余的输入端应接 高 电平,具有“或”逻辑端口的CMOS门多余的输入端应接 低 电平;即CMOS门的输入端不允许 悬空 。

11、能将某种特定信息转换成机器识别的 二进 制数码的 组合 逻辑电路,称之为 编码 器;能将机器识别的 二

进 制数码转换成人们熟悉的十进 制或某种特定信息的 逻辑电路,称为 译码 器;74LS85是常用的 集成 逻辑电路 数值比较 器。

12、在多路数据选送过程中,能够根据需要将其中任意一路挑选出来的电路,称之为 数据选择 器,也叫做 多路 开关。

二、判断正误题(每小题1分,共10分)

1、组合逻辑电路的输出只取决于输入信号的现态。 (对)

2、3线—8线译码器电路是三—八进制译码器。 (错)

3、已知逻辑功能,求解逻辑表达式的过程称为逻辑电路的设计。 (错)

4、编码电路的输入量一定是人们熟悉的十进制数。 (错)

24

5、74LS138集成芯片可以实现任意变量的逻辑函数。 (错)

6、组合逻辑电路中的每一个门实际上都是一个存储单元。 (错)

7、74系列集成芯片是双极型的,CC40系列集成芯片是单极型的。 (对)

8、无关最小项对最终的逻辑结果无影响,因此可任意视为0或1。 (对)

9、三态门可以实现“线与”功能。 (错)

10、共阴极结构的显示器需要低电平驱动才能显示。 (错)

三、选择题(每小题2分,共20分)

1、具有“有1出0、全0出1”功能的逻辑门是( B )。

A、与非门 B、或非门 C、异或门 D、同或门

2、下列各型号中属于优先编译码器是( C )。 A、74LS85 B、74LS138 C、74LS148 D、74LS48

3、七段数码显示管TS547是( B )。

A、共阳极LED管 B、共阴极LED管 C、极阳极LCD管 D、共阴极LCD管

4、八输入端的编码器按二进制数编码时,输出端的个数是( B )。

A、2个 B、3个 C、4个 D、8个

5、四输入的译码器,其输出端最多为( D )。

A、4个 B、8个 C、10个 D、16个

6、当74LS148的输入端I0~I7按顺序输入11011101时,输出Y2~Y0为( B )。

A、101 B、010 C、001 D、110

7、一个两输入端的门电路,当输入为1和0时,输出不

25

是1的门是( D )。

A、与非门 B、或门 C、或非门 D、异或门

8、多余输入端可以悬空使用的门是( B )。

A、与门 B、TTL与非门 C、CMOS与非门 D、或非门

9、译码器的输出量是( A )。

A、二进制 B、八进制 C、十进制 D、十六进制

10、编码器的输入量是( C )。

A、二进制 B、八进制 C、十进制 D、十六进制

四、简述题(每小题3分,共15分)

1、何谓逻辑门?何谓组合逻辑电路?组合逻辑电路的特点?

答:数字电路中的门电路,其输入和输出之间的关系属于逻辑关系,因此常称为逻辑门。若逻辑电路的输出仅取决于输入的现态,则称为组合逻辑电路,其中输出仅取决于输入的现态就是组合逻辑电路的显著特点。

2、分析组合逻辑电路的目的是什么?简述分析步骤。 答:分析组合逻辑电路的目的是找出已知组合逻辑电路的功能,分析的步骤为四步:①根据已知逻辑电路图用逐级递推法写出对应的逻辑函数表达式;②用公式法或卡诺图法对的写出的逻辑函数式进行化简,得到最简逻辑表达式;③根据最简逻辑表达式,列出相应的逻辑电路真值表;④根据真值表找出电路可实现的逻辑功能并加以说明,以理解电路的作用。

3、何谓编码?二进制编码和二—十进制编码有何不同?

答:编码就是把人们熟悉的特定信息编成机器识别的二进制代码的过程。二—十进制编码是每四位二进制数对应一个十进制数,其中具有无效码;而二进制编码中不存在无效码。

4、何谓译码?译码器的输入量和输出量在进制上有何

26

不同?

答:译码是编码的逆过程,就是把机器识别的二进制代码还原成人们识别的特定信息或十进制。译码器的输入量是二进制代码;输出量则为十进制。

5、TTL门电路中,哪个有效地解决了“线与”问题?哪个可以实现“总线”结构?

答:TTL门电路中,OC门有效地解决了“线与”问题,三态门可以实现“总线”结构。 五、分析题(共20分)

1、根据表5-11所示内容,分析其功能,并画出其最简逻辑电路图。(8分)

表5-11 组合逻辑电路真值表 输 入 输 出 A B C F 0 0 0 1 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 解:

2、图5-33所示是uA、uB两输入端门u的输入波形,试画出对应下列门的输出波形。(4分) t

u①与门 ②与非门

t

③或非门

图5-33 ④异或门

解:对应输入波形,可画出各门的输出 与门 波形如右图红笔所示。

A B

与非门

27

或非门 异或门

2、写出图5-34所示逻辑电路的逻辑函数表达式。(8分)

A ≥1 A & B B & =1 F F

1 C ≥1 ≥1 D C

(b) (a)

图5-34 5.5.2逻辑电路图 A B C D ≥1 C

& =1 F

A B 1 ≥1 & ≥1 F

(a)

图9-43 9.5.2逻辑电路图

(b)

解:(a)图逻辑函数表达式:F?AD?ABC?AD?CD (b)图逻辑函数表达式:F?AB?BC 六、设计题(共10分)

1、画出实现逻辑函数F?AB?ABC?AC的逻辑电路。(5分) 设计:本题逻辑函数式可化为最简式为F?AB?C,逻辑电路为: A & B ≥1 F C

2、设计一个三变量的判偶逻辑电路。(5分) 设计:本题逻辑函数式的最简式为F?ABC?ABC?ABC,逻辑电路为: A & 1

B

C 1 1 & & 28 ≥1 F

*应用能力训练附加题:用与非门设计一个组合逻辑电路,完成如下功能:只有当三个裁判(包括裁判长)或裁判长和一个裁判认为杠铃已举起并符合标准时,按下按键,使灯亮(或铃响),表示此次举重成功,否则,表示举重失败。

解:附加题显然是一个三变量的多数表决电路。其中三个裁判为输入变量,按键为输出变量。普通裁判同意为1分,裁判长A同意为2分,满3分时F为1,同意举重成功;不足3分F为0,表示举重失败。 真值表为: A B C F 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 1 1 1 0 1 1 1 1 1 相应逻辑表达式为:F?ABC?ABC?ABC?AC?AB?ABAC A & B & F & C 第6章 检测题 (共100分,120分钟) 一、填空题:(每空0.5分,共20分)

1、两个与非门构成的基本RS触发器的功能有 清零 、 置1 和 保持 。电路中不允许两个输入端同时为 为

29

低电平 ,否则将出现逻辑混乱。

2、通常把一个CP脉冲引起触发器多次翻转的现象称为 空翻 ,有这种现象的触发器是 钟控RS 触发器,此类触发器的工作属于 电平 触发方式。

3、为有效地抑制“空翻”,人们研制出了 边沿 触发方式的 主从型JK 触发器和 维持阻塞D 触发器。

4、JK触发器具有 置0 、 置1 、 保持 和 翻转 四种功能。欲使JK触发器实现Qn?1?Qn的功能,则输入端J应接 1 ,K应接 1 。

5、D触发器的输入端子有 1 个,具有 置0 和 置1 的功能。

6、触发器的逻辑功能通常可用 功能真值表 、 逻辑函数式 、 状态转换图 和 时序波形图 等多种方法进行描述。

7、组合逻辑电路的基本单元是 门电路 ,时序逻辑电路的基本单元是 触发器 。

8、JK触发器的次态方程为 Qn?1?JQn?KQn ;D触发器的次态方程为 Q?D 。

9、触发器有两个互非的输出端Q和Q,通常规定Q=1,Q=0时为触发器的 “1” 状态;Q=0,Q=1时为触发器的 “0” 状态。

10、两个与非门组成的基本RS触发器,在正常工作时,不允许R?S? 0 ,其特征方程为 Qn?1?S?RQn ,约束条件为 R?S?1 。 11、钟控的RS触发器,在正常工作时,不允许输入端R=S= 1 ,其特征方程为 Q?S?RQ ,约束条(CP?1)件为 SR=0 。

12、把JK触发器 的两个输入端连在一起 就构成了T触发器,T触发器具有的逻辑功能是 保持 和 翻转 。

13、让 T 触发器恒输入“1”就构成了T'触发器,这种触发器仅具有 翻转 功能。

二、正误识别题(每小题1分,共10分)

1、仅具有保持和翻转功能的触发器是RS触发器。 (错)

n?1nn?1n 30

2、基本的RS触发器具有“空翻”现象。 (错)

3、钟控的RS触发器的约束条件是:R+S=0。 (错)

4、JK触发器的特征方程是:Qn?1?JQn?KQn。 (错)

5、D触发器的输出总是跟随其输入的变化而变化。 (对)

6、CP=0时,由于JK触发器的导引门被封锁而触发器状态不变。 (错)

7、主从型JK触发器的从触发器开启时刻在CP下降沿到来时。 (对)

8、触发器和逻辑门一样,输出取决于输入现态。 (错)

9、维持阻塞D触发器状态变化在CP下降沿到来时。 (错)

10、凡采用电位触发方式的触发器,都存在“空翻”

现象。 (错)

三、选择题(每小题2分,共20分) 1、仅具有置“0”和置“1”功能的触发器是( C )。

A、基本RS触发器 B、钟控RS触发器 C、D触发器 D、JK触发器

2、由与非门组成的基本RS触发器不允许输入的变量组合S?R为( A )。

A、00 B、01 C、10 D、

11

3、钟控RS触发器的特征方程是( D )。

A、Qn?1?R?Qn B、Qn?1?S?Qn C、Qn?1?R?SQn D、Qn?1?S?RQn

4、仅具有保持和翻转功能的触发器是( B )。

A、JK触发器 B、T触发器 C、D触发

器 D、Tˊ触发器

5、触发器由门电路构成,但它不同门电路功能,主要特点是( C )

31

A、具有翻转功能 B、具有保持功能

C、具有记忆功能

6、TTL集成触发器直接置0端RD和直接置1端SD在触发器正常工作时应( C )

A、RD=1,SD=0 B、RD=0,SD=1 C、保持高电平“1” D、保持低电平“0” 7、按触发器触发方式的不同,双稳态触发器可分为( C )

A、高电平触发和低电平触发 B、上升沿触

发和下降沿触发

C、电平触发或边沿触发 D、输入触发

或时钟触发

8、按逻辑功能的不同,双稳态触发器可分为( A )。

A、RS、JK、D、T等 B、主从型和

维持阻塞型

C、TTL型和MOS型 D、上述均包

9、为避免“空翻”现象,应采用( B )方式的触发器。

A、主从触发 B、边沿触发 C、

电平触发

10、为防止“空翻”,应采用( C )结构的触发器。

A、TTL B、MOS C、主从或

维持阻塞

四、简述题(每小题3分,共15分)

1、时序逻辑电路的基本单元是什么?组合逻辑电路的基本单元又是什么?

答:时序逻辑电路的基本单元是触发器,组合逻辑电路的基本单元是门电路。

2、何谓“空翻”现象?抑制“空翻”可采取什么措施?

答:所谓“空翻”,是指触发器在一个CP脉冲为1

32

期间输出状态发生多次变化的现象。抑制“空翻”的最有效方法就是选用边沿触发方式的触发器。

3、触发器有哪几种常见的电路结构形式?它们各有什么样的动作特点?

答:触发器常见的电路结构形式有两个与非门或两个或非门构成的基本RS触发器、由基本RS触发器和导引门构成的钟控RS触发器、主从型JK触发器以及维护阻塞D触发器等。基本RS触发器的输出随着输入的变化而变化,电平触发;钟控RS触发器是在CP=1期间输出随输入的变化而变化;主从型JK触发器在时钟脉冲下降沿到来时触发;维持阻塞D触发器是在时钟脉冲上升沿到来时刻触发。

4、试分别写出钟控RS触发器、JK触发器和D触发器的特征方程。

答:钟控RS触发器的特征方程:Q?S?RQ ,(CP?1)SR=0(约束条件);

JK触发器的特征方程:Qn?1?JQn?KQn; D触发器的特征方程:Q n +1= D n。

5、你能否推出由两个或非门组成的基本RS触发器的功能?写出其真值表。

答:由两个或非门组成的基

QQ本RS触发器如图所示,其功能与钟控RS触发器相同,所不同点是

≥1 ≥1 或非门构成的基本RS触发器是

门1 门2 电平触发方式,没有时钟脉冲控制。

功能真值表也与钟控RS触S R 发器完全相同。

或非门构成的基本RS触发器

五、分析题(共35分) 1、已知TTL主从型JK触发器的输入控制端J和K及CP脉冲波形如图6-18所示,试根据它们的波形画出相应

n?1n 33

输出端Q的波形。(8分)

CP J K 图6-18 检测题6.5.1波形图 Q

2、写出图6-19所示各逻辑电路的次态方程。(每图3

A CP 1D C1 (a)

1 CP 1J C1 1K (d)

Q

CP Q

CP 1D C1 (b) 1J C1 1K (e)

Q

CP Q

CP 1D C1 (c) 1J C1 1K (f)

Q Q

图6-19检测题6.5.2逻辑图

分,共18分) 解:(a)图:Q?A (b)图:QQ?Q (d)图:Q?Q (e)图:QQ?Q

Q1 Q0 3、图

持阻塞D触

1D 1D Q 路,试画出在CP C1 Q C1 n?1n?1nn?1nn?1?Dn (c)图:

n?1?Qn (f)图:

n?1n6-20所示为维发器构成的电CP脉冲下Q0

Q 34 Q 图6-20 检测题6.5.3逻辑图

和Q1的波形。(9分)

n解:Q0n+1=Q0,Q1n+1=Q1n,设触发器初态为00,各位触发器在CP上升沿触发。 显然在每一个CP脉冲上升沿到来时,触发器Q0状态就翻转一次,而触发器Q1的状态翻转发生在Q0由0到1时刻。图略。

第7章 检测题 (共100分,120分钟) 一、填空题:(每空0.5分,共33分)

1、时序逻辑电路按各位触发器接受 时钟脉冲控制 信号的不同,可分为 同 步时序逻辑电路和 异 步时序逻辑电路两大类。在 异 步时序逻辑电路中,各位触发器无统一的 时钟脉冲控制 信号,输出状态的变化通常不是 同一时刻 发生的。

2、根据已知的 逻辑电路 ,找出电路的 输入 和其现态及 输出 之间的关系,最后总结出电路逻辑 功能 的一系列步骤,称为时序逻辑电路的 分析 。

3、当时序逻辑电路的触发器位数为n,电路状态按 二进制 数的自然态序循环,经历的独立状态为2n个,这时,我们称此类电路为 二进制 计数器。 二进制 计数器除了按 同步 、 异步 分类外,按计数的 加减 规律还可分为 加 计数器、 减 计数器和 可逆 计数器。

4、在 十进制 计数器中,要表示一位十进制数时,至少要用 四 位触发器才能实现。十进制计数电路中最常采用的是 8421 BCD代码来表示一位十进制数。

5、时序逻辑电路中仅有存储记忆电路而没有逻辑门电路时,构成的电路类型通常称为 莫尔 型时序逻辑电路;如果电路中不但除了有存储记忆电路的输入端子,还

35

有逻辑门电路的输入时,构成的电路类型称为 米莱 型时序逻辑电路。

6、分析时序逻辑电路时,首先要根据已知逻辑的电路图分别写出相应的 驱动 方程、 输出 方程和 次态 方程,若所分析电路属于 异 步时序逻辑电路,则还要写出各位触发器的 时钟脉冲 方程。

7、时序逻辑电路中某计数器中的 无效 码,若在开机时出现,不用人工或其它设备的干预,计数器能够很快自行进入 有效循环体 ,使 无效 码不再出现的能力称为 自启动 能力。

8、在 分频 、 控制 、 测量 等电路中,计数器应用得非常广泛。构成一个六进制计数器最少要采用 三 位触发器,这时构成的电路有 6 个有效状态, 2 个无效状态。

9、寄存器可分为 数码 寄存器和 移位 寄存器,集成74LS194属于 双向 移位寄存器。用四位移位寄存器构成环行计数器时,有效状态共有 4 个;若构成扭环计数器时,其有效状态是 8 个。

10、 寄存 器是可用来存放数码、运算结果或指令的电路,通常由具有存储功能的多位 触发 器组合起来构成。一位 触发 器可以存储1个二进制代码,存放n个二进制代码的 寄存 器,需用n位 触发 器来构成。

11、74LS194是典型的四位 TTL 型集成双向移位寄存器芯片,具有 左移和右移 、并行输入、 保持数据 和 清除数据 等功能。

12、555定时器可以构成施密特触发器,施密特触发器具有 回差 特性,主要用于脉冲波形的 整形 和 变换 ;555定时器还可以用作多谐振荡器和 单 稳态触发器。 单 稳态触发器只有一个 暂稳 态、一个 稳 态,当外加触发信号作用时, 单稳 态触发器能够从 稳 态翻转到 暂稳 态,经过一段时间又能自动返回到 稳 态,

13、用集成计数器CC40192构成任意进制的计数器时,通常可采用反馈 预置 法和反馈 清零 法。

二、判断题(每小题1分,共10分)

36

1、集成计数器通常都具有自启动能力。 (对)

2、使用3个触发器构成的计数器最多有8个有效状态。 (对)

3、同步时序逻辑电路中各触发器的时钟脉冲CP不一定相同。 (错)

4、利用一个74LS90可以构成一个十二进制的计数器。 (错)

5、用移位寄存器可以构成8421BCD码计数器。 (错)

6、555电路的输出只能出现两个状态稳定的逻辑电平之一。 (对)

7、施密特触发器的作用就是利用其回差特性稳定电路。 (错)

8、莫尔型时序逻辑电路,分析时通常不写输出方程。 (对)

9、十进制计数器是用十进制数码“0~9”进行计数的。 (错)

10、利用集成计数器芯片的预置数功能可获得任意进制的计数器。 (对)

三、选择题(每小题2分,共20分)

1、描述时序逻辑电路功能的两个必不可少的重要方程式是( B )。

A、次态方程和输出方程 B、次态方程和驱

动方程

C、驱动方程和时钟方程 D、驱动方程和输

出方程

2、用8421BCD码作为代码的十进制计数器,至少需要的触发器触发器个数是( C )。

A、2 B、3 C、4 D、

5

3、按各触发器的状态转换与时钟输入CP的关系分类,计数器可分( A )计数器。

A、同步和异步 B、加计数和减计数 C、

37

二进制和十进制

4、能用于脉冲整形的电路是( C )。

A、双稳态触发器 B、单稳态触发器 C、

施密特触发器

5、四位移位寄存器构成的扭环形计数器是( B )计数器。

A、模4 B、模8 C、模16 6、下列叙述正确的是( D )

A、译码器属于时序逻辑电路 B、寄存器

属于组合逻辑电路

C、555定时器属于时序逻辑电路 D、计数器

属于时序逻辑电路

7、利用中规模集成计数器构成任意进制计数器的方法是( B )

A、复位法 B、预置数法 C、级

联复位法

8、不产生多余状态的计数器是( A )。

A、同步预置数计数器 B、异步预置数计数器

C、复位法构成的计数器

9、数码可以并行输入、并行输出的寄存器有( C )

A、移位寄存器 B、数码寄存器

C、二者皆有

10、改变555定时电路的电压控制端CO的电压值,可改变( C )

A、555定时电路的高、低输出电平 B、开关

放电管的开关电平

C、比较器的阈值电压 D、置“0”

端R的电平值

四、简述题(,每小题3分,共12分)

1、说明同步时序逻辑电路和异步时序逻辑电路有何不同?

答:同步时序逻辑电路的各位触发器是由同一个时钟脉冲控制的;异步时序逻辑电路的各位触发器的时钟脉冲控制端各不相同,状态发生变化的时间通常也不相同。

38

2、钟控的RS触发器能用作移位寄存器吗?为什么? 答:移位寄存器除寄存数据外,还能将数据在寄存器内移位,因此钟控的RS触发器不能用做这类寄存器,因为它具有“空翻”问题,若用于移位寄存器中,很可能造成一个CP脉冲下多次移位现象。用作移位寄存器的触发器只能是克服了“空翻”现象的边沿触发器。

3、何谓计数器的自启动能力? 答:所谓自启动能力:指时序逻辑电路中某计数器中的无效状态码,若在开机时出现,不用人工或其它设备的干预,计数器能够很快自行进入有效循环体,使无效状态码不再出现的能力。

4、施密特触发器具有什么显著特征?主要应用有哪些?

答:施密特触发器的显著特征有两个:一是输出电压随输入电压变化的曲线不是单值的,具有回差特性;二是电路状态转换时,输出电压具有陡峭的跳变沿。利用施密特触发器的上述两个特点,可对电路中的输入电信号进行波形整形、波形变换、幅度鉴别及脉冲展宽等。

五、分析题(共25分)

1、试用74LS161集成芯片构成十二进制计数器。要求采用反馈预置法实现。(7分)

2、电路及时钟脉冲、输入端D的波形如图7-313所示,设起始状态为“000”。试画出各触发器的输出时序图,并说明电路的功能。(10分)

Q2 Q3 Q1

D JQJQJQ

KQKQKQ CP CP D

1 1 2 2 3 3 1 12 23 3图7-31 检测题7.5.2逻辑图

39

解:分析:(1)电路为同步的米莱型时序逻辑电路; (2)各触发器的驱动方程:J1=D K1=D J2=Q1n K2=Q J3=Q1n K3=Q 各触发器的次态方程:Q?D Q?Q

Q?Q

(3)根据上述方程,写出相应的逻辑功能真值表: CP D Q1n Q2n Q3n Q1n+1 Q2n+1 Q3n+1 1↓ 0 0 0 0 0 0 0 2↓ 1 0 0 0 1 0 0 3↓ 0 1 0 0 0 1 0 4↓ 0 0 1 0 0 0 1 5↓ 0 0 0 1 0 0 0 从功能真值表中可看出,该电路属于右移移位寄存器。其时序逻辑图如图中红笔示。

3、已知计数器的输出端Q2、Q1、Q0的输出波形如图7-32所示,试画出对应的状态转换图,并分析该计数器为几进制计数器。(8分)

CP

Q2

Q1 Q0 图7-32 检测题7.5.3时序波形图

解:状态转换关系为:101→010→011→000→100→001→110。该计数器为七进制计数器。

n1n2n?11nn?12n1n?13n2第8章 检测题 (共80分,100分钟) 一、填空题:(每空0.5分,共23分) 1、一个存储矩阵有64行、64列,则存储容量为 4096 个存储单元。

2、存储器容量的扩展方法通常有字 扩展、位 扩展

40

和 字、位同时 扩展三种方式。

3、可编程逻辑器件PLD一般由 输入缓冲 、 与阵列 、 或阵列 、 输出缓冲 等四部分电路组成。按其阵列和输出结构的不同可分为PLA 、PAL 和GAL 等基本类型。

4、计算机中的 内存储器 和 高速缓冲存储器 统称主存, CPU 可直接对主存进行访问。 内 存储器一般由半导体存储器构成,通常装在计算机 主板 上,存取速度快,但容量有限; 高速缓冲 存储器位于内存与CPU之间,一般用来解决 存取速度 与存储容量之间的矛盾,可提高整个系统的运行速度。

5、计算机内存使用的类型主要是 随机存取 存储器和 可编程逻辑 器件。按其存储信息的功能可分为 只读存储器ROM 和随 随机存取存储器RAM 两大类。

6、GAL16V8主要有 简单型 、 复杂型 、 寄存器型 三种工作模式。

7、PAL的与阵列 可编程 ,或阵列 固定 ;PLA的与阵列 可编程 ,或阵列 可编程 ;GAL的与阵列 可编程 ,或阵列 固定 。

8、存储器的主要技术指标有 存储容量 、 存取速度 、 功耗 、 可靠性 和集成度等。

9、RAM主要包括 地址译码器 、 存储矩阵 和 读/写控制 电路等部分。

10、当RAM中的片选信号CS= “1” 时,RAM被禁止读写,处于保持状态;当CS= “0” 时,RAM可在读/写控制输入R/W的作用下作读出或写入操作。

11、ROM按照存储信息写入方式的不同可分为 固定 ROM、 可编程的 PROM、

可光擦除可编程 的EPROM和 可电擦除可编程 的E2PROM。

12、目前使用的 EPROM可多次写入的存储单元是在MOS管中置入 浮置栅 的方法实现的。

二、判断题(每小题1分,共7分)

1、可编程逻辑器件的写入电压和正常工作电压相同。

41

(错)

2、GAL可实现时序逻辑电路的功能,也可实现组合逻辑电路的功能。 (对)

3、RAM的片选信号CS=“0”时被禁止读写。 (错)

4、EPROM是采用浮栅技术工作的可编程存储器。 (对)

5、PLA的与阵列和或阵列都可以根据用户的需要进行编程。 (对)

6、存储器的容量指的是存储器所能容纳的最大字节数。 (对)

7、1024×1位的RAM中,每个地址中只有1个存储单元。 (对)

三、选择题(每小题2分,共20A B C D & 分)

1、图8-15输出端表示的逻辑关

图8-15 系为(A)。

A、ACD B、ACD C、B D、B

2、利用电容的充电来存储数据,由于电路本身总有漏电,因此需定期不断补充充电(刷新)才能保持其存储的数据的是(B)

A、静态RAM的存储单元 B、动态RAM

的存储单元

3、关于存储器的叙述,正确的是(A)

A、存储器是随机存储器和只读存储器的总称 B、存储器是计算机上的一种输入输出设备

C、计算机停电时随机存储器中的数据不会丢失 4、一片容量为1024字节×4位的存储器,表示有(C)个存储单元。

A、1024 B、4 C、4096 D、

8

5、一片容量为1024字节×4位的存储器,表示有(A)个地址。

42

A、1024 B、4 C、4096 D、

8

6、只能读出不能写入,但信息可永久保存的存储器是(A)

A、ROM B、RAM C、PRAM 7、ROM中译码矩阵固定,且可将所有输入代码全部译出的是(C)。

A、ROM B、RAM C、完全译

码器

8、动态存储单元是靠(B)的功能来保存和记忆信息的。

A、自保持 B、栅极存储电荷 9、利用双稳态触发器存储信息的RAM叫(B)RAM。 A、动态 B、静态

10、在读写的同时还需要不断进行数据刷新的是(A)存储单元。

A、动态 B、静态 四、简答题:(10分)

1、现有(1024B×4)RAM集成芯片一个,该RAM有多少个存储单元?有多少条地址线?该RAM含有多少个字?其字长是多少位?访问该RAM时,每次会选中几个存储单元?

答:该RAM集成芯片有4096个存储单元;地址线为10根;含有1024个字,字长是4位;访问该RAM时,每次会选中4个存储单元。

五、计算题:(每小题10分,共20分) 1、试用ROM实现下面多输出逻辑函数。

Y1?ABC?ABCY2?ABCD?BCD?ABCDY3?ABCD?ABCDY4?ABCD?ABCD

解:Y1?ABC?ABC

43

A B 1 1 1 C

与阵列

Y1 或阵列

Y2?ABCD?BCD?ABCD?ABCD?ABCD?ABCD?ABCD

Y3?ABCD?ABCD A B C D 1 1 1 1 与阵列

Y2 或阵列

A C D

1 1 1 1 44 B 与阵列

Y2 或阵列

Y4?ABCD?ABCD A 1 B C D

Y2

1 与阵列

1 1 2、试用1KB×1位的RAM扩展成1KB×4位的存储器。说明需要几片如图8-16所示的RAM,画出接线图。

或阵列 I/O 1024×1 R/W CS A9?A0 ?图8-16

解:用1KB×1位的RAM扩展成1KB×4位的存储器,

需用4片如图11-16所示的RAM芯片,接线图

45

为:

A0 I/O0 I/O1 I/O2 I/O3 I/O 1024×1 ?I/O 1024×1 R/W CS A9?A0 I/O 1024×1 R/W CS A9?A0 I/O 1024×1 R/W CS A9?A0 R/W CS A9?A0 ???· · A9 ·CS R/W

第9章 检测题 (共80分,100分钟) 一、填空题:(每空0.5分,共21分) 1、DAC电路的作用是将 输入的数字 量转换成 与数字量成正比的输出模拟 量。ADC电路的作用是将 输入的模拟 量转换成 与其成正比的输出数字 量。

2、DAC电路的主要技术指标有 分辨率 、 绝对精度 和 非线性度 及 建立时间等 ;ADC电路的主要技术指标有 相对精度 、 分辨率 和 转换速度等 。

3、DAC通常由 参考电压 , 译码电路 和 电子开关 三个基本部分组成。为了将模拟电流转换成模拟电压,通常在输出端外加 运算放大器 。

4、按解码网络结构的不同,DAC可分为 R-2RT形电阻 网络、 R-2R倒T形电阻 网络和 权电阻 网络DAC等。按模拟电子开关电路的不同,DAC又可分为 CMOS

46

开关型和 双极型 开关型。

5、模数转换的量化方式有 四舍五入 法和 舍尾取整法 两种。

6、在模/数转换过程中,只能在一系列选定的瞬间对输入模拟量 采样 后再转换为输出的数字量,通过 采样 、 保持 、 量化 和 编码 四个步骤完成。

7、 双积分 型ADC换速度较慢, 逐次逼近 型ADC转换速度高。

8、 逐次逼近 型ADC内部有数模转换器,因此 转换速度 快。

9、 倒T 型电阻网络DAC中的电阻只有 R 和 2R 两种,与 权电阻 网络完全不同。而且在这种DAC转换器中又采用了 高速电子开关 ,所以 转换速度 很高。

10、ADC0809采用 CMOS 工艺制成的 8 位ADC,内部采用 逐次比较 结构形式。DAC0832采用的是 CMOS 工艺制成的双列直插式单片 8 位数模转换器。

二、判断题(每小题1分,共9分)

1、DAC的输入数字量的位数越多,分辩能力越低。 (错)

2、原则上说,R-2R倒T形电阻网络DAC输入和二进制位数不受限制。 (对)

3、若要减小量化误差ε,就应在测量范围内增大量化当量δ。 (错)

4、量化的两种方法中舍尾取整法较好些。 (错)

5、ADC0809二进制数据输出是三态的,允许直接连CPU的数据总线。 (对)

6、逐次比较型模数转换器转换速度较慢。 (错)

7、双积分型ADC中包括数/模转换器,因此转换速度较快。 (错)

8、δ的数值越小,量化的等级越细,A/D转换器的位数就越多。 (对)

9、在满刻度范围内,偏离理想转换特性的最大值称为

47

相对精度。 (错)

三、选择题(每小题2分,共20分) 1、ADC的转换精度取决于(A)。

A、分辩率 B、转换速度 C、分辨率

和转换速度

2、对于n位DAC的分辨率来说,可表示为(C)。

1A、1n B、1 C、 n-1n222?13、R-2R梯形电阻网络DAC中,基准电压源UR和输

出电压u0的极性关系为(B)。

A、同相 B、反相 C、无关 4、采样保持电路中,采样信号的频率fS和原信号中最高频率成分fimax之间的关系是必须满足(A)。

A、fS≥2fimax B、fS

A、1/8(V) B、2/15(V) C、1/4(V) 6、DAC0832是属于(A)网络的DAC。

A、R-2R倒T型电阻 B、T型电阻

C、权电阻

7、和其它ADC相比,双积分型ADC转换速度(A)。 A、较慢 B、很快 C、极慢

8、如果ui=0~10V,Uimax=1V,若用ADC电路将它转换成n=3的二进制数,采用四舍五入量化法的最大量化误差为(A)。

A、1/15(V) B、1/8(V) C、1/4(V) 9、ADC0809输出的是(A)

A、8位二进制数码 B、10位二进制数码

C、4位二进制数码

10、ADC0809是属于(B)的ADC。

A、双积分型 B、逐次比较型 四、计算设计题(共35分)

1、如图9-12所示电路中R=8KΩ,RF=1KΩ,UR=

48

-10V,试求:

(1)在输入四位二进制数D=1001时,网络输出u0

=?

(2)若u0=1.25V,则可以判断输入的四位二进制数

d3 d2 d1 d0

UR R/23 R/22 R/2 R

S3 S2 S1 S0 iF iF RF — ∞ + + uO

图9-12 D=?(10分) 解:①图示电路X3~X0的状态为1001,因此有:

I3?URR/23?U?10?8?10??10mA, I0?R???1.25mA, 8R8?I??11.25mA

U0??IRF?11.25?1?11.25V

②若要使输出电压等于1.25V,则I=I0=-1.25mA,即输入的四位二进制数D=0001。

2、在倒T形电阻网络DAC中,若UR=10V,输入

10位二进制数字量为(1011010101),试求其输出模拟电压为何值?(已知RF=R=10KΩ)(6分) 解:U0??UR10D??(1?29?1?27?1?26?1?24?1?22?1?20)n1022 10?725????7.08V10243、已知某一DAC电路的最小分辩电压ULSB=40mV,最大满刻度输出电压UFSR=0.28V,试求该电路输入二进

49

制数字量的位数n应是多少?(6分)

解:

?ULSB401??nUFSR2802?1dn-2 · · · · Sn-2 · · · S2 21R In—2 · · · · n-32R · · · · I2 IF — + ∞ + u0

?2n?8 n?3

4、如图9-13所d2 n-2示的权电阻网络S1 2R I1 d1 DAC电路中,若n=n-1S0 2R I0 d0 4,UR=5V,R=100

电子开关 权电阻求和网络 Ω,RF=50Ω,试求此

图9-13 电路的电压转换特

性。若输入四位二进制数D=1001,则它的输出电压u0=?(8分)

解: URR545?RF? ?u0??nD??4(1?23?1?20)????2.81V 22216集成运放 50